JiscMail Logo
Email discussion lists for the UK Education and Research communities

Help for LCG-ROLLOUT Archives


LCG-ROLLOUT Archives

LCG-ROLLOUT Archives


LCG-ROLLOUT@JISCMAIL.AC.UK


View:

Message:

[

First

|

Previous

|

Next

|

Last

]

By Topic:

[

First

|

Previous

|

Next

|

Last

]

By Author:

[

First

|

Previous

|

Next

|

Last

]

Font:

Proportional Font

LISTSERV Archives

LISTSERV Archives

LCG-ROLLOUT Home

LCG-ROLLOUT Home

LCG-ROLLOUT  February 2013

LCG-ROLLOUT February 2013

Options

Subscribe or Unsubscribe

Subscribe or Unsubscribe

Log In

Log In

Get Password

Get Password

Subject:

WMS issue and DAG jobs

From:

Gonçalo Borges <[log in to unmask]>

Reply-To:

LHC Computer Grid - Rollout <[log in to unmask]>

Date:

Wed, 6 Feb 2013 19:11:11 +0000

Content-Type:

multipart/signed

Parts/Attachments:

Parts/Attachments

text/plain (40 lines) , test6.txt (8839 lines) , smime.p7s (8839 lines)

Dear WMs experts ...

A user is sending several dag jobs to my WMS (and LB) both in EMI 1.

However, he is complaining that while all the children jobs are RUNNING 
or DONE, the father is always reporting its status as WAITING. In 
appendix I'm sending more details from a logging info command.

I've been through some FAQs like
https://wiki.egi.eu/wiki/Tools/Manuals/TS55
which do not seem to apply because it has passed enough time for the WMS 
to recover from any long backlog or sporadic load.

The only logs I found in the WMS are:

# grep XqVdHXrO7aV5f3nH_0fzFw /var/log/wms/wmproxy*
/var/log/wms/wmproxy.log:04 Feb, 15:34:12 -I- PID: 22036 - 
"wmpcoreoperations::regist DAG": Registering job id: 
https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw

#  grep XqVdHXrO7aV5f3nH_0fzFw /var/log/wms/workload_manager_events.log
04 Feb, 15:35:18 -I: [Info] 
operator()(/builddir/build/BUILD/glite-wms-manager-3.3.1/build/../src/dispatcher_utils.cpp:228): 
new jobsubmit for https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw
04 Feb, 15:46:40 -I: [Info] 
operator()(/builddir/build/BUILD/glite-wms-manager-3.3.1/build/../src/submit_request.cpp:338): 
https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw, collection delivered

I'm a bit confused because I'm not able to find the information that 
allows me to follow up the job.

Any help is appreciated in understanding why the WMS keeps saying the 
job is WAITING while all the childdren are DONE.

Thank you in advance
Cheers
Goncalo




======================= glite-wms-job-status Success ===================== BOOKKEEPING INFORMATION: Status info for the Job : https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw Current Status: Waiting Submitted: Mon Feb 4 16:34:12 2013 CET --- - Cancelling = 0 - Children num = 50 - Condor job exit status = 0 - Condor job pid = 0 - Condor shadow exit status = 0 - Condor shadow pid = 0 - Condor starter exit status = 0 - Condor starter pid = 0 - Cputime = -1 - Done code = -1 - Expectupdate = 0 - Jobtype = 4 - Lastupdatetime = Tue Feb 5 23:35:52 2013 CET - Location = WorkloadManager/wms01.ncg.ingrid.pt/19419 - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik - Payload running = 0 - Pbs exit status = 0 - Pbs pid = 0 - Resubmitted = 0 - Seed = WMPROXY - Stateentertime = Tue Feb 5 23:35:52 2013 CET - Subjob failed = 0 - Suspended = 0 - Remove from proxy = 0 - Ui host = buxus.man.poznan.pl - Sandbox retrieved = 0 - Jw status = 0 - Cream cancelling = 0 - Cream cpu time = 0 - Cream done code = -1 - Cream exit code = -1 - Cream jw status = 0 - Cream state = -1 - Ft sandbox type = -1 --- - Children hist = 0       - Stateentertimes =       Submitted : Mon Feb 4 16:34:12 2013 CET       Waiting : Tue Feb 5 23:35:52 2013 CET       Ready : ---       Scheduled : ---       Running : Tue Feb 5 23:20:00 2013 CET       Done : ---       Cleared : ---       Aborted : ---       Cancelled : ---       Unknown : --- ========================================================================== - Nodes information for: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw:     Status info for the Job : https://lb01.ncg.ingrid.pt:9000/-CPhaWG2aUb-n9fxpxgDTg     Current Status: Cancelled     Logged Reason(s):         - BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM504844330)         - Cannot move ISB (retry_copy ${globus_transfer_cmd} gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input/.BrokerInfo file:///tmp/7948962.1.gcsic.q/CREAM537420098/.BrokerInfo): error: globus_ftp_client: the server responded with an error 500 500-Command failed. : globus_l_gfs_file_open failed. 500-globus_xio: Unable to open file /var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input/.BrokerInfo 500-globus_xio: System error in open: No such file or directory 500-globus_xio: A system call failed: No such file or directory 500 End.         - Cancelled by CE admin     Status Reason: Cancelled by CE admin     Destination: gridce01.ifca.es:8443/cream-sge-iberphys     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_627     ---     - Cancelreason = Cancelled by CE admin     - Cancelling = 0     - Ce node = gcsic079wn.ifca.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = gridce01.ifca.es:8443/cream-sge-iberphys     - Done code = 2     - Expectupdate = 0     - Failure reasons = BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM504844330) [grid001.ft.uam.es:8443/cream-pbs-phytuk]     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 02:16:16 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = gcsic079wn.ifca.es     - Possible destinations = gridce01.ifca.es:8443/cream-sge-iberphys     - Resubmitted = 1     - Stateentertime = Tue Feb 5 02:16:16 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=gridce01.ifca.es;     - Remove from proxy = 1     - Sandbox retrieved = 1     - Jw status = 0     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/-CPhaWG2aUb-n9fxpxgDTg";             lrms_type = "pbs";             CEInfoHostName = "grid001.ft.uam.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=627" };             NodeName = "Node_627";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.simulations.tar simulations.tar";             ce_id = "grid001.ft.uam.es:8443/cream-pbs-phytuk";             Prologue = "md-job-prologue.sh";             QueueName = "phytuk";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg";             X509UserProxy = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/user.proxy";             GlobusResourceContactString = "grid001.ft.uam.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/-CPhaWG2aUb-n9fxpxgDTg";                 lrms_type = "sge";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "gridce01.ifca.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=627" };                 NodeName = "Node_627";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.simulations.tar simulations.tar";                 ce_id = "gridce01.ifca.es:8443/cream-sge-iberphys";                 Prologue = "md-job-prologue.sh";                 QueueName = "iberphys";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "grid001.ft.uam.es";                   timestamp = 1359992779                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg";                 CeApplicationDir = "/opt/exp_soft/iber";                 X509UserProxy = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/user.proxy";                 GlobusResourceContactString = "gridce01.ifca.es:8443/cream-sge";                 InputSandboxPath = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input";                 edg_previous_matches = { "grid001.ft.uam.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_627"; FuzzyRank = true; CEInfoHostName = "gridce01.ifca.es"; OutputSandboxPath = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "gridce01.ifca.es:8443/cream-sge"; QueueName = "iberphys"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=627" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "gridce01.ifca.es:8443/cream-sge-iberphys"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/-CPhaWG2aUb-n9fxpxgDTg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/input"; CeApplicationDir = "/opt/exp_soft/iber"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_627.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "grid001.ft.uam.es" }; lrms_type = "sge"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992779; ce_id = "grid001.ft.uam.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-C/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-CPhaWG2aUb-n9fxpxgDTg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:22:30 2013 CET           Ready : Mon Feb 4 17:39:25 2013 CET           Scheduled : Mon Feb 4 17:51:49 2013 CET           Running : ---           Done : Mon Feb 4 17:22:19 2013 CET           Cleared : ---           Aborted : ---           Cancelled : Tue Feb 5 02:16:16 2013 CET           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/-L2XCNvJ6UHfpPgTSYvosg     Current Status: Done (Success)     Logged Reason(s):         - reason=255         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce01.up.pt:8443/cream-pbs-iberphys     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_646     ---     - Cancelling = 0     - Ce node = grid023.up.pt     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce01.up.pt:8443/cream-pbs-iberphys     - Done code = 0     - Expectupdate = 0     - Failure reasons = reason=255 [cream.egi.cesga.es:8443/cream-sge-GRIDEGI_large]     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 03:17:24 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grid023.up.pt     - Possible destinations = ce01.up.pt:8443/cream-pbs-iberphys     - Resubmitted = 1     - Stateentertime = Tue Feb 5 03:17:24 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce01.up.pt;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/-L2XCNvJ6UHfpPgTSYvosg";             lrms_type = "sge";             CEInfoHostName = "cream.egi.cesga.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=646" };             NodeName = "Node_646";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.simulations.tar simulations.tar";             ce_id = "cream.egi.cesga.es:8443/cream-sge-GRIDEGI_large";             Prologue = "md-job-prologue.sh";             QueueName = "GRIDEGI_large";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg";             X509UserProxy = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/user.proxy";             GlobusResourceContactString = "cream.egi.cesga.es:8443/cream-sge";             InputSandboxPath = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/-L2XCNvJ6UHfpPgTSYvosg";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ce01.up.pt";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=646" };                 NodeName = "Node_646";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.simulations.tar simulations.tar";                 ce_id = "ce01.up.pt:8443/cream-pbs-iberphys";                 Prologue = "md-job-prologue.sh";                 QueueName = "iberphys";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "cream.egi.cesga.es";                   timestamp = 1359992799                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg";                 CeApplicationDir = "/vosoft/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/user.proxy";                 GlobusResourceContactString = "ce01.up.pt:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input";                 edg_previous_matches = { "cream.egi.cesga.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_646"; FuzzyRank = true; CEInfoHostName = "ce01.up.pt"; OutputSandboxPath = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce01.up.pt:8443/cream-pbs"; QueueName = "iberphys"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=646" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce01.up.pt:8443/cream-pbs-iberphys"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/-L2XCNvJ6UHfpPgTSYvosg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/input"; CeApplicationDir = "/vosoft/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_646.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "cream.egi.cesga.es" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992799; ce_id = "cream.egi.cesga.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/-L/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f-L2XCNvJ6UHfpPgTSYvosg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Tue Feb 5 03:02:57 2013 CET           Ready : Tue Feb 5 03:03:02 2013 CET           Scheduled : Tue Feb 5 03:03:03 2013 CET           Running : Tue Feb 5 03:09:57 2013 CET           Done : Tue Feb 5 03:17:24 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/1B2MwXX0JYMsUSnwvlK-pQ     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_623     ---     - Cancelling = 0     - Ce node = grub26.ecm.ub.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:39:01 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grub26.ecm.ub.es     - Possible destinations = hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:39:01 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=hep-cream.ecm.ub.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/1B2MwXX0JYMsUSnwvlK-pQ";             lrms_type = "pbs";             CEInfoHostName = "hep-cream.ecm.ub.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=623" };             NodeName = "Node_623";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.simulations.tar simulations.tar";             ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ";             X509UserProxy = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/user.proxy";             GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/1B2MwXX0JYMsUSnwvlK-pQ";                 lrms_type = "pbs";                 CEInfoHostName = "hep-cream.ecm.ub.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=623" };                 NodeName = "Node_623";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.simulations.tar simulations.tar";                 ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ";                 X509UserProxy = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/user.proxy";                 GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_623"; FuzzyRank = true; CEInfoHostName = "hep-cream.ecm.ub.es"; OutputSandboxPath = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=623" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/1B2MwXX0JYMsUSnwvlK-pQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_623.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/1B/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f1B2MwXX0JYMsUSnwvlK-pQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:03 2013 CET           Ready : Mon Feb 4 16:46:03 2013 CET           Scheduled : Mon Feb 4 17:06:43 2013 CET           Running : Mon Feb 4 17:20:08 2013 CET           Done : Mon Feb 4 17:39:01 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/7DdsBM3phM4Arwqi2Z8VSw     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: ngiescream.i3m.upv.es:8443/cream-pbs-physig     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_640     ---     - Cancelling = 0     - Ce node = ngieswn10     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Done code = 0     - Expectupdate = 0     - Failure reasons = BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue for user MSG=total number of current user's jobs exceeds the queue limit: user [log in to unmask], queue grid-csic-) N/A (jobId = CREAM079119693) [grid-ce-00.sgai.csic.es:8443/cream-pbs-grid-csic]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 18:20:12 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = ngieswn10     - Possible destinations = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Resubmitted = 1     - Stateentertime = Mon Feb 4 18:20:12 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ngiescream.i3m.upv.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/7DdsBM3phM4Arwqi2Z8VSw";             lrms_type = "pbs";             CEInfoHostName = "grid-ce-00.sgai.csic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=640" };             NodeName = "Node_640";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.simulations.tar simulations.tar";             ce_id = "grid-ce-00.sgai.csic.es:8443/cream-pbs-grid-csic";             Prologue = "md-job-prologue.sh";             QueueName = "grid-csic";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw";             X509UserProxy = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/user.proxy";             GlobusResourceContactString = "grid-ce-00.sgai.csic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/7DdsBM3phM4Arwqi2Z8VSw";                 lrms_type = "pbs";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ngiescream.i3m.upv.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=640" };                 NodeName = "Node_640";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.simulations.tar simulations.tar";                 ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig";                 Prologue = "md-job-prologue.sh";                 QueueName = "physig";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "grid-ce-00.sgai.csic.es";                   timestamp = 1359992785                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw";                 CeApplicationDir = "/storage/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/user.proxy";                 GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input";                 edg_previous_matches = { "grid-ce-00.sgai.csic.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_640"; FuzzyRank = true; CEInfoHostName = "ngiescream.i3m.upv.es"; OutputSandboxPath = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs"; QueueName = "physig"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=640" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/7DdsBM3phM4Arwqi2Z8VSw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/input"; CeApplicationDir = "/storage/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_640.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "grid-ce-00.sgai.csic.es" }; lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992785; ce_id = "grid-ce-00.sgai.csic.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7D/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7DdsBM3phM4Arwqi2Z8VSw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:12:12 2013 CET           Ready : Mon Feb 4 17:28:32 2013 CET           Scheduled : Mon Feb 4 17:42:48 2013 CET           Running : Mon Feb 4 17:59:41 2013 CET           Done : Mon Feb 4 18:20:12 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/7GopgbFpMgf59UYOfsFyXg     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce.iaa.csic.es:8443/cream-pbs-physiber     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_609     ---     - Cancelling = 0     - Ce node = wn09.iaa.csic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce.iaa.csic.es:8443/cream-pbs-physiber     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:36:57 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = wn09.iaa.csic.es     - Possible destinations = ce.iaa.csic.es:8443/cream-pbs-physiber     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:36:57 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce.iaa.csic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/7GopgbFpMgf59UYOfsFyXg";             lrms_type = "pbs";             CEInfoHostName = "ce.iaa.csic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=609" };             NodeName = "Node_609";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.simulations.tar simulations.tar";             ce_id = "ce.iaa.csic.es:8443/cream-pbs-physiber";             Prologue = "md-job-prologue.sh";             QueueName = "physiber";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg";             X509UserProxy = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/user.proxy";             GlobusResourceContactString = "ce.iaa.csic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/7GopgbFpMgf59UYOfsFyXg";                 lrms_type = "pbs";                 CEInfoHostName = "ce.iaa.csic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=609" };                 NodeName = "Node_609";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.simulations.tar simulations.tar";                 ce_id = "ce.iaa.csic.es:8443/cream-pbs-physiber";                 Prologue = "md-job-prologue.sh";                 QueueName = "physiber";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg";                 X509UserProxy = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/user.proxy";                 GlobusResourceContactString = "ce.iaa.csic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_609"; FuzzyRank = true; CEInfoHostName = "ce.iaa.csic.es"; OutputSandboxPath = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce.iaa.csic.es:8443/cream-pbs"; QueueName = "physiber"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=609" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce.iaa.csic.es:8443/cream-pbs-physiber"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/7GopgbFpMgf59UYOfsFyXg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_609.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/7G/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f7GopgbFpMgf59UYOfsFyXg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:51 2013 CET           Ready : Mon Feb 4 16:45:52 2013 CET           Scheduled : Mon Feb 4 17:06:18 2013 CET           Running : Mon Feb 4 17:06:26 2013 CET           Done : Mon Feb 4 17:36:57 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/90Fq0wHe44aDBRJpFytIAA     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce10.pic.es:8443/cream-pbs-gshort_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_649     ---     - Cancelling = 0     - Ce node = td658.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce10.pic.es:8443/cream-pbs-gshort_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:48 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td658.pic.es     - Possible destinations = ce10.pic.es:8443/cream-pbs-gshort_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:48 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce10.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/90Fq0wHe44aDBRJpFytIAA";             lrms_type = "pbs";             CEInfoHostName = "ce10.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=649" };             NodeName = "Node_649";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.simulations.tar simulations.tar";             ce_id = "ce10.pic.es:8443/cream-pbs-gshort_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "gshort_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA";             X509UserProxy = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/user.proxy";             GlobusResourceContactString = "ce10.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/90Fq0wHe44aDBRJpFytIAA";                 lrms_type = "pbs";                 CEInfoHostName = "ce10.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=649" };                 NodeName = "Node_649";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.simulations.tar simulations.tar";                 ce_id = "ce10.pic.es:8443/cream-pbs-gshort_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "gshort_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA";                 X509UserProxy = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/user.proxy";                 GlobusResourceContactString = "ce10.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_649"; FuzzyRank = true; CEInfoHostName = "ce10.pic.es"; OutputSandboxPath = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce10.pic.es:8443/cream-pbs"; QueueName = "gshort_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=649" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce10.pic.es:8443/cream-pbs-gshort_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/90Fq0wHe44aDBRJpFytIAA"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_649.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/90/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2f90Fq0wHe44aDBRJpFytIAA" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:31 2013 CET           Ready : Mon Feb 4 16:45:31 2013 CET           Scheduled : Mon Feb 4 17:05:32 2013 CET           Running : Mon Feb 4 17:05:43 2013 CET           Done : Mon Feb 4 17:37:48 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/BU7sVww8I2dAywzDR1BiYw     Current Status: Done (Success)     Logged Reason(s):         - Transfer to CREAM failed due to exception: Failed to create a delegation id for job https://lb01.ncg.ingrid.pt:9000/BU7sVww8I2dAywzDR1BiYw: reason is Received NULL fault; the error is due to another cause: FaultString=[] - FaultCode=[SOAP-ENV:Server.generalException] - FaultSubCode=[SOAP-ENV:Server.generalException] - FaultDetail=[<faultData><ns1:MethodName xmlns:ns1="http://glite.org/2007/11/ce/cream/types">invoke</ns1:MethodName><ns2:Timestamp xmlns:ns2="http://glite.org/2007/11/ce/cream/types">2013-02-04T16:06:07.107Z</ns2:Timestamp><ns3:ErrorCode xmlns:ns3="http://glite.org/2007/11/ce/cream/types">0</ns3:ErrorCode><ns4:Description xmlns:ns4="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns4:Description><ns5:FaultCause xmlns:ns5="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns5:FaultCause></faultData><ns6:exceptionName xmlns:ns6="http://xml.apache.org/axis/">org.glite.ce.creamapi.ws.cream2.types.AuthorizationFault</ns6:exceptionName><ns7:hostname xmlns:ns7="http://xml.apache.org/axis/">ce01.igfae.usc.es</ns7:hostname>]         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce10.pic.es:8443/cream-pbs-glong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_607     ---     - Cancelling = 0     - Ce node = td588.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce10.pic.es:8443/cream-pbs-glong_sl5     - Done code = 0     - Expectupdate = 0     - Failure reasons = Transfer to CREAM failed due to exception: Failed to create a delegation id for job https://lb01.ncg.ingrid.pt:9000/BU7sVww8I2dAywzDR1BiYw: reason is Received NULL fault; the error is due to another cause: FaultString=[] - FaultCode=[SOAP-ENV:Server.generalException] - FaultSubCode=[SOAP-ENV:Server.generalException] - FaultDetail=[<faultData><ns1:MethodName xmlns:ns1="http://glite.org/2007/11/ce/cream/types">invoke</ns1:MethodName><ns2:Timestamp xmlns:ns2="http://glite.org/2007/11/ce/cream/types">2013-02-04T16:06:07.107Z</ns2:Timestamp><ns3:ErrorCode xmlns:ns3="http://glite.org/2007/11/ce/cream/types">0</ns3:ErrorCode><ns4:Description xmlns:ns4="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns4:Description><ns5:FaultCause xmlns:ns5="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns5:FaultCause></faultData><ns6:exceptionName xmlns:ns6="http://xml.apache.org/axis/">org.glite.ce.creamapi.ws.cream2.types.AuthorizationFault</ns6:exceptionName><ns7:hostname xmlns:ns7="http://xml.apache.org/axis/">ce01.igfae.usc.es</ns7:hostname>] [ce01.igfae.usc.es:8443/cream-pbs-phys]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:58:23 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td588.pic.es     - Possible destinations = ce10.pic.es:8443/cream-pbs-glong_sl5     - Resubmitted = 1     - Stateentertime = Mon Feb 4 17:58:23 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce10.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/BU7sVww8I2dAywzDR1BiYw";             lrms_type = "pbs";             CEInfoHostName = "ce01.igfae.usc.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=607" };             NodeName = "Node_607";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.simulations.tar simulations.tar";             ce_id = "ce01.igfae.usc.es:8443/cream-pbs-phys";             Prologue = "md-job-prologue.sh";             QueueName = "phys";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw";             X509UserProxy = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/user.proxy";             GlobusResourceContactString = "ce01.igfae.usc.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/BU7sVww8I2dAywzDR1BiYw";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ce10.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=607" };                 NodeName = "Node_607";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.simulations.tar simulations.tar";                 ce_id = "ce10.pic.es:8443/cream-pbs-glong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "glong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "ce01.igfae.usc.es";                   timestamp = 1359992749                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw";                 CeApplicationDir = "/software/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/user.proxy";                 GlobusResourceContactString = "ce10.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input";                 edg_previous_matches = { "ce01.igfae.usc.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_607"; FuzzyRank = true; CEInfoHostName = "ce10.pic.es"; OutputSandboxPath = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce10.pic.es:8443/cream-pbs"; QueueName = "glong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=607" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce10.pic.es:8443/cream-pbs-glong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/BU7sVww8I2dAywzDR1BiYw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/input"; CeApplicationDir = "/software/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_607.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "ce01.igfae.usc.es" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992749; ce_id = "ce01.igfae.usc.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BU/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBU7sVww8I2dAywzDR1BiYw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:06:06 2013 CET           Ready : Mon Feb 4 17:21:59 2013 CET           Scheduled : Mon Feb 4 17:36:54 2013 CET           Running : Mon Feb 4 17:38:09 2013 CET           Done : Mon Feb 4 17:58:23 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/BWcx1wGDCe4DAtBfalCN-g     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: ngiescream.i3m.upv.es:8443/cream-pbs-physig     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_624     ---     - Cancelling = 0     - Ce node = ngieswn10     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Done code = 0     - Expectupdate = 0     - Failure reasons = BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM154127005) [grid001.ft.uam.es:8443/cream-pbs-phytuk]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 18:20:13 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = ngieswn10     - Possible destinations = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Resubmitted = 1     - Stateentertime = Mon Feb 4 18:20:13 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ngiescream.i3m.upv.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/BWcx1wGDCe4DAtBfalCN-g";             lrms_type = "pbs";             CEInfoHostName = "grid001.ft.uam.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=624" };             NodeName = "Node_624";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.simulations.tar simulations.tar";             ce_id = "grid001.ft.uam.es:8443/cream-pbs-phytuk";             Prologue = "md-job-prologue.sh";             QueueName = "phytuk";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g";             X509UserProxy = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/user.proxy";             GlobusResourceContactString = "grid001.ft.uam.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/BWcx1wGDCe4DAtBfalCN-g";                 lrms_type = "pbs";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ngiescream.i3m.upv.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=624" };                 NodeName = "Node_624";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.simulations.tar simulations.tar";                 ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig";                 Prologue = "md-job-prologue.sh";                 QueueName = "physig";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "grid001.ft.uam.es";                   timestamp = 1359992766                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g";                 CeApplicationDir = "/storage/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/user.proxy";                 GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input";                 edg_previous_matches = { "grid001.ft.uam.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_624"; FuzzyRank = true; CEInfoHostName = "ngiescream.i3m.upv.es"; OutputSandboxPath = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs"; QueueName = "physig"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=624" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/BWcx1wGDCe4DAtBfalCN-g"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/input"; CeApplicationDir = "/storage/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_624.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "grid001.ft.uam.es" }; lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992766; ce_id = "grid001.ft.uam.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/BW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fBWcx1wGDCe4DAtBfalCN-g" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:21:28 2013 CET           Ready : Mon Feb 4 17:38:26 2013 CET           Scheduled : Mon Feb 4 17:51:01 2013 CET           Running : Mon Feb 4 17:59:42 2013 CET           Done : Mon Feb 4 18:20:13 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/B_pgZOibsE0rfL_wxBPYZQ     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_633     ---     - Cancelling = 0     - Ce node = grub08.ecm.ub.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:39:00 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grub08.ecm.ub.es     - Possible destinations = hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:39:00 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=hep-cream.ecm.ub.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/B_pgZOibsE0rfL_wxBPYZQ";             lrms_type = "pbs";             CEInfoHostName = "hep-cream.ecm.ub.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=633" };             NodeName = "Node_633";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.simulations.tar simulations.tar";             ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ";             X509UserProxy = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/user.proxy";             GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/B_pgZOibsE0rfL_wxBPYZQ";                 lrms_type = "pbs";                 CEInfoHostName = "hep-cream.ecm.ub.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=633" };                 NodeName = "Node_633";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.simulations.tar simulations.tar";                 ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ";                 X509UserProxy = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/user.proxy";                 GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_633"; FuzzyRank = true; CEInfoHostName = "hep-cream.ecm.ub.es"; OutputSandboxPath = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=633" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/B_pgZOibsE0rfL_wxBPYZQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_633.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/B_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fB_5fpgZOibsE0rfL_5fwxBPYZQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:20 2013 CET           Ready : Mon Feb 4 16:46:21 2013 CET           Scheduled : Mon Feb 4 17:07:06 2013 CET           Running : Mon Feb 4 17:20:30 2013 CET           Done : Mon Feb 4 17:39:00 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/C0rtURu9MdN9Ng3NPEDRzw     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ngiescream.i3m.upv.es:8443/cream-pbs-physig     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_602     ---     - Cancelling = 0     - Ce node = ngieswn5     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 18:20:11 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = ngieswn5     - Possible destinations = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Resubmitted = 0     - Stateentertime = Mon Feb 4 18:20:11 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ngiescream.i3m.upv.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/C0rtURu9MdN9Ng3NPEDRzw";             lrms_type = "pbs";             CEInfoHostName = "ngiescream.i3m.upv.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=602" };             NodeName = "Node_602";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.simulations.tar simulations.tar";             ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig";             Prologue = "md-job-prologue.sh";             QueueName = "physig";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw";             X509UserProxy = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/user.proxy";             GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/C0rtURu9MdN9Ng3NPEDRzw";                 lrms_type = "pbs";                 CEInfoHostName = "ngiescream.i3m.upv.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=602" };                 NodeName = "Node_602";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.simulations.tar simulations.tar";                 ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig";                 Prologue = "md-job-prologue.sh";                 QueueName = "physig";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw";                 X509UserProxy = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/user.proxy";                 GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_602"; FuzzyRank = true; CEInfoHostName = "ngiescream.i3m.upv.es"; OutputSandboxPath = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs"; QueueName = "physig"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=602" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/C0rtURu9MdN9Ng3NPEDRzw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_602.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/C0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fC0rtURu9MdN9Ng3NPEDRzw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:34 2013 CET           Ready : Mon Feb 4 16:45:36 2013 CET           Scheduled : Mon Feb 4 17:06:23 2013 CET           Running : Mon Feb 4 17:06:36 2013 CET           Done : Mon Feb 4 18:20:11 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/DLA02vWsdQEzzDJG6yBH-w     Current Status: Done (Exit Code !=0)     Exit code: 127     Status Reason: Job Terminated Successfully     Destination: ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_637     ---     - Cancelling = 0     - Ce node = db-c4-08     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:18:30 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = db-c4-08     - Possible destinations = ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:18:30 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce.ceta-ciemat.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/DLA02vWsdQEzzDJG6yBH-w";             lrms_type = "sge";             CEInfoHostName = "ce.ceta-ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=637" };             NodeName = "Node_637";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.simulations.tar simulations.tar";             ce_id = "ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg";             Prologue = "md-job-prologue.sh";             QueueName = "ibphys.cg";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w";             X509UserProxy = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/user.proxy";             GlobusResourceContactString = "ce.ceta-ciemat.es:8443/cream-sge";             InputSandboxPath = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/DLA02vWsdQEzzDJG6yBH-w";                 lrms_type = "sge";                 CEInfoHostName = "ce.ceta-ciemat.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=637" };                 NodeName = "Node_637";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.simulations.tar simulations.tar";                 ce_id = "ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibphys.cg";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w";                 X509UserProxy = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/user.proxy";                 GlobusResourceContactString = "ce.ceta-ciemat.es:8443/cream-sge";                 InputSandboxPath = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_637"; FuzzyRank = true; CEInfoHostName = "ce.ceta-ciemat.es"; OutputSandboxPath = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce.ceta-ciemat.es:8443/cream-sge"; QueueName = "ibphys.cg"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=637" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/DLA02vWsdQEzzDJG6yBH-w"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_637.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "sge"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/DL/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDLA02vWsdQEzzDJG6yBH-w" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:33 2013 CET           Ready : Mon Feb 4 16:46:33 2013 CET           Scheduled : Mon Feb 4 17:07:20 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:18:30 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/DdupitheIMRIwVeR8aJr9Q     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: ngiescream.i3m.upv.es:8443/cream-pbs-physig     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_641     ---     - Cancelling = 0     - Ce node = ngieswn10     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Done code = 0     - Expectupdate = 0     - Failure reasons = BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM156679747) [grid001.ft.uam.es:8443/cream-pbs-phytuk]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 18:20:14 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = ngieswn10     - Possible destinations = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Resubmitted = 1     - Stateentertime = Mon Feb 4 18:20:14 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ngiescream.i3m.upv.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/DdupitheIMRIwVeR8aJr9Q";             lrms_type = "pbs";             CEInfoHostName = "grid001.ft.uam.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=641" };             NodeName = "Node_641";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.simulations.tar simulations.tar";             ce_id = "grid001.ft.uam.es:8443/cream-pbs-phytuk";             Prologue = "md-job-prologue.sh";             QueueName = "phytuk";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q";             X509UserProxy = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/user.proxy";             GlobusResourceContactString = "grid001.ft.uam.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/DdupitheIMRIwVeR8aJr9Q";                 lrms_type = "pbs";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ngiescream.i3m.upv.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=641" };                 NodeName = "Node_641";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.simulations.tar simulations.tar";                 ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig";                 Prologue = "md-job-prologue.sh";                 QueueName = "physig";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "grid001.ft.uam.es";                   timestamp = 1359992787                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q";                 CeApplicationDir = "/opt/exp_soft";                 X509UserProxy = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/user.proxy";                 GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input";                 edg_previous_matches = { "grid001.ft.uam.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_641"; FuzzyRank = true; CEInfoHostName = "ngiescream.i3m.upv.es"; OutputSandboxPath = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs"; QueueName = "physig"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=641" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/DdupitheIMRIwVeR8aJr9Q"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/input"; CeApplicationDir = "/opt/exp_soft"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_641.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "grid001.ft.uam.es" }; lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992787; ce_id = "grid001.ft.uam.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Dd/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDdupitheIMRIwVeR8aJr9Q" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:21:40 2013 CET           Ready : Mon Feb 4 17:38:35 2013 CET           Scheduled : Mon Feb 4 17:51:09 2013 CET           Running : Mon Feb 4 17:59:43 2013 CET           Done : Mon Feb 4 18:20:14 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/Do6g6ImWSO07E0SkBapPKQ     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce07.pic.es:8443/cream-pbs-rglong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_603     ---     - Cancelling = 0     - Ce node = td083.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce07.pic.es:8443/cream-pbs-rglong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:30 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td083.pic.es     - Possible destinations = ce07.pic.es:8443/cream-pbs-rglong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:30 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce07.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Do6g6ImWSO07E0SkBapPKQ";             lrms_type = "pbs";             CEInfoHostName = "ce07.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=603" };             NodeName = "Node_603";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.simulations.tar simulations.tar";             ce_id = "ce07.pic.es:8443/cream-pbs-rglong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "rglong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ";             X509UserProxy = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/user.proxy";             GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Do6g6ImWSO07E0SkBapPKQ";                 lrms_type = "pbs";                 CEInfoHostName = "ce07.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=603" };                 NodeName = "Node_603";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.simulations.tar simulations.tar";                 ce_id = "ce07.pic.es:8443/cream-pbs-rglong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "rglong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ";                 X509UserProxy = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/user.proxy";                 GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_603"; FuzzyRank = true; CEInfoHostName = "ce07.pic.es"; OutputSandboxPath = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs"; QueueName = "rglong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=603" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce07.pic.es:8443/cream-pbs-rglong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Do6g6ImWSO07E0SkBapPKQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_603.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Do/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fDo6g6ImWSO07E0SkBapPKQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:36 2013 CET           Ready : Mon Feb 4 16:45:38 2013 CET           Scheduled : Mon Feb 4 17:05:55 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:37:30 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/J_LsJvXtDtLccwsD9VOb-g     Current Status: Done (Success)     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: creamce03.ciemat.es:8443/cream-pbs-medium     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_618     ---     - Cancelling = 0     - Ce node = gaew1073.ciemat.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = creamce03.ciemat.es:8443/cream-pbs-medium     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 23:28:04 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = gaew1073.ciemat.es     - Possible destinations = creamce03.ciemat.es:8443/cream-pbs-medium     - Resubmitted = 0     - Stateentertime = Tue Feb 5 23:28:04 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=creamce03.ciemat.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/J_LsJvXtDtLccwsD9VOb-g";             lrms_type = "pbs";             CEInfoHostName = "creamce03.ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=618" };             NodeName = "Node_618";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.simulations.tar simulations.tar";             ce_id = "creamce03.ciemat.es:8443/cream-pbs-medium";             Prologue = "md-job-prologue.sh";             QueueName = "medium";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g";             X509UserProxy = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/user.proxy";             GlobusResourceContactString = "creamce03.ciemat.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/J_LsJvXtDtLccwsD9VOb-g";                 lrms_type = "pbs";                 CEInfoHostName = "creamce03.ciemat.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=618" };                 NodeName = "Node_618";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.simulations.tar simulations.tar";                 ce_id = "creamce03.ciemat.es:8443/cream-pbs-medium";                 Prologue = "md-job-prologue.sh";                 QueueName = "medium";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g";                 X509UserProxy = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/user.proxy";                 GlobusResourceContactString = "creamce03.ciemat.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_618"; FuzzyRank = true; CEInfoHostName = "creamce03.ciemat.es"; OutputSandboxPath = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "creamce03.ciemat.es:8443/cream-pbs"; QueueName = "medium"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=618" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "creamce03.ciemat.es:8443/cream-pbs-medium"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/J_LsJvXtDtLccwsD9VOb-g"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_618.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/J_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fJ_5fLsJvXtDtLccwsD9VOb-g" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:04 2013 CET           Ready : Mon Feb 4 16:46:05 2013 CET           Scheduled : Mon Feb 4 17:06:48 2013 CET           Running : Tue Feb 5 23:20:05 2013 CET           Done : Tue Feb 5 23:28:04 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/OWT52hmlNnvY8k1XhkHxzQ     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_650     ---     - Cancelling = 0     - Ce node = db-c4-05     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:36:45 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = db-c4-05     - Possible destinations = ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:36:45 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce.ceta-ciemat.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/OWT52hmlNnvY8k1XhkHxzQ";             lrms_type = "sge";             CEInfoHostName = "ce.ceta-ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=650" };             NodeName = "Node_650";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.simulations.tar simulations.tar";             ce_id = "ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg";             Prologue = "md-job-prologue.sh";             QueueName = "ibphys.cg";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ";             X509UserProxy = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/user.proxy";             GlobusResourceContactString = "ce.ceta-ciemat.es:8443/cream-sge";             InputSandboxPath = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/OWT52hmlNnvY8k1XhkHxzQ";                 lrms_type = "sge";                 CEInfoHostName = "ce.ceta-ciemat.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=650" };                 NodeName = "Node_650";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.simulations.tar simulations.tar";                 ce_id = "ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibphys.cg";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ";                 X509UserProxy = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/user.proxy";                 GlobusResourceContactString = "ce.ceta-ciemat.es:8443/cream-sge";                 InputSandboxPath = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_650"; FuzzyRank = true; CEInfoHostName = "ce.ceta-ciemat.es"; OutputSandboxPath = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce.ceta-ciemat.es:8443/cream-sge"; QueueName = "ibphys.cg"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=650" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce.ceta-ciemat.es:8443/cream-sge-ibphys.cg"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/OWT52hmlNnvY8k1XhkHxzQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_650.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "sge"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/OW/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fOWT52hmlNnvY8k1XhkHxzQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:37 2013 CET           Ready : Mon Feb 4 16:46:37 2013 CET           Scheduled : Mon Feb 4 17:07:33 2013 CET           Running : Mon Feb 4 17:07:44 2013 CET           Done : Mon Feb 4 17:36:45 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/PgyY8TCy8jtaJUKgEMq70A     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_643     ---     - Cancelling = 0     - Ce node = grub01.ecm.ub.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:38:57 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grub01.ecm.ub.es     - Possible destinations = hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:38:57 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=hep-cream.ecm.ub.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/PgyY8TCy8jtaJUKgEMq70A";             lrms_type = "pbs";             CEInfoHostName = "hep-cream.ecm.ub.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=643" };             NodeName = "Node_643";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.simulations.tar simulations.tar";             ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A";             X509UserProxy = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/user.proxy";             GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/PgyY8TCy8jtaJUKgEMq70A";                 lrms_type = "pbs";                 CEInfoHostName = "hep-cream.ecm.ub.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=643" };                 NodeName = "Node_643";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.simulations.tar simulations.tar";                 ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A";                 X509UserProxy = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/user.proxy";                 GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_643"; FuzzyRank = true; CEInfoHostName = "hep-cream.ecm.ub.es"; OutputSandboxPath = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "hep-cream.ecm.ub.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=643" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "hep-cream.ecm.ub.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/PgyY8TCy8jtaJUKgEMq70A"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_643.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Pg/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fPgyY8TCy8jtaJUKgEMq70A" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:34 2013 CET           Ready : Mon Feb 4 16:46:34 2013 CET           Scheduled : Mon Feb 4 17:07:21 2013 CET           Running : Mon Feb 4 17:20:59 2013 CET           Done : Mon Feb 4 17:38:57 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/QI375Jiq2DYEq-8y_CmjAw     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-rglong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_638     ---     - Cancelling = 0     - Ce node = td674.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-rglong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:44 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td674.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-rglong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:44 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/QI375Jiq2DYEq-8y_CmjAw";             lrms_type = "pbs";             CEInfoHostName = "ce09.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=638" };             NodeName = "Node_638";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.simulations.tar simulations.tar";             ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "rglong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw";             X509UserProxy = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/user.proxy";             GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/QI375Jiq2DYEq-8y_CmjAw";                 lrms_type = "pbs";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=638" };                 NodeName = "Node_638";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "rglong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw";                 X509UserProxy = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_638"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "rglong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=638" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/QI375Jiq2DYEq-8y_CmjAw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_638.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QI/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQI375Jiq2DYEq-8y_5fCmjAw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:34 2013 CET           Ready : Mon Feb 4 16:46:34 2013 CET           Scheduled : Mon Feb 4 17:07:24 2013 CET           Running : Mon Feb 4 17:09:19 2013 CET           Done : Mon Feb 4 17:37:44 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/QN7dTqbDSH7I8XF95kTpnw     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce08.pic.es:8443/cream-pbs-glong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_642     ---     - Cancelling = 0     - Ce node = td486.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce08.pic.es:8443/cream-pbs-glong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:37 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td486.pic.es     - Possible destinations = ce08.pic.es:8443/cream-pbs-glong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:37 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce08.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/QN7dTqbDSH7I8XF95kTpnw";             lrms_type = "pbs";             CEInfoHostName = "ce08.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=642" };             NodeName = "Node_642";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.simulations.tar simulations.tar";             ce_id = "ce08.pic.es:8443/cream-pbs-glong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "glong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw";             X509UserProxy = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/user.proxy";             GlobusResourceContactString = "ce08.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/QN7dTqbDSH7I8XF95kTpnw";                 lrms_type = "pbs";                 CEInfoHostName = "ce08.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=642" };                 NodeName = "Node_642";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.simulations.tar simulations.tar";                 ce_id = "ce08.pic.es:8443/cream-pbs-glong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "glong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw";                 X509UserProxy = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/user.proxy";                 GlobusResourceContactString = "ce08.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_642"; FuzzyRank = true; CEInfoHostName = "ce08.pic.es"; OutputSandboxPath = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce08.pic.es:8443/cream-pbs"; QueueName = "glong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=642" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce08.pic.es:8443/cream-pbs-glong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/QN7dTqbDSH7I8XF95kTpnw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_642.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/QN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fQN7dTqbDSH7I8XF95kTpnw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:31 2013 CET           Ready : Mon Feb 4 16:46:32 2013 CET           Scheduled : Mon Feb 4 17:07:20 2013 CET           Running : Mon Feb 4 17:07:29 2013 CET           Done : Mon Feb 4 17:37:37 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/U536kpZEVIpT6CMgarToDw     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-rglong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_620     ---     - Cancelling = 0     - Ce node = td108.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-rglong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:42 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td108.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-rglong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:42 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/U536kpZEVIpT6CMgarToDw";             lrms_type = "pbs";             CEInfoHostName = "ce09.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=620" };             NodeName = "Node_620";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.simulations.tar simulations.tar";             ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "rglong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw";             X509UserProxy = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/user.proxy";             GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/U536kpZEVIpT6CMgarToDw";                 lrms_type = "pbs";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=620" };                 NodeName = "Node_620";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "rglong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw";                 X509UserProxy = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_620"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "rglong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=620" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/U536kpZEVIpT6CMgarToDw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_620.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/U5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fU536kpZEVIpT6CMgarToDw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:52 2013 CET           Ready : Mon Feb 4 16:45:54 2013 CET           Scheduled : Mon Feb 4 17:06:18 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:37:42 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/V06BWMCII9GVjK8mtYCUZg     Current Status: Done (Success)     Logged Reason(s):         - reason=127         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ifaece02.pic.es:8443/cream-pbs-at2ifae     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_647     ---     - Cancelling = 0     - Ce node = td547.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Done code = 0     - Expectupdate = 0     - Failure reasons = reason=127 [ce.esac.esa.int:8443/cream-sge-physibergrid]     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 03:18:25 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td547.pic.es     - Possible destinations = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Resubmitted = 1     - Stateentertime = Tue Feb 5 03:18:25 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ifaece02.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/V06BWMCII9GVjK8mtYCUZg";             lrms_type = "sge";             CEInfoHostName = "ce.esac.esa.int";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=647" };             NodeName = "Node_647";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.simulations.tar simulations.tar";             ce_id = "ce.esac.esa.int:8443/cream-sge-physibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "physibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg";             X509UserProxy = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/user.proxy";             GlobusResourceContactString = "ce.esac.esa.int:8443/cream-sge";             InputSandboxPath = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/V06BWMCII9GVjK8mtYCUZg";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ifaece02.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=647" };                 NodeName = "Node_647";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.simulations.tar simulations.tar";                 ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae";                 Prologue = "md-job-prologue.sh";                 QueueName = "at2ifae";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "ce.esac.esa.int";                   timestamp = 1359992728                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg";                 CeApplicationDir = "/software/ifae/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/user.proxy";                 GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input";                 edg_previous_matches = { "ce.esac.esa.int" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_647"; FuzzyRank = true; CEInfoHostName = "ifaece02.pic.es"; OutputSandboxPath = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs"; QueueName = "at2ifae"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=647" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/V06BWMCII9GVjK8mtYCUZg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/input"; CeApplicationDir = "/software/ifae/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_647.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "ce.esac.esa.int" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992728; ce_id = "ce.esac.esa.int" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/V0/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fV06BWMCII9GVjK8mtYCUZg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Tue Feb 5 03:02:21 2013 CET           Ready : Tue Feb 5 03:02:25 2013 CET           Scheduled : Tue Feb 5 03:02:27 2013 CET           Running : Tue Feb 5 03:03:57 2013 CET           Done : Tue Feb 5 03:18:25 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/W5YlIPrgQbyfwCe1Kve3Xg     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce08.pic.es:8443/cream-pbs-rglong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_617     ---     - Cancelling = 0     - Ce node = td585.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce08.pic.es:8443/cream-pbs-rglong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:38 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td585.pic.es     - Possible destinations = ce08.pic.es:8443/cream-pbs-rglong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:38 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce08.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/W5YlIPrgQbyfwCe1Kve3Xg";             lrms_type = "pbs";             CEInfoHostName = "ce08.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=617" };             NodeName = "Node_617";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.simulations.tar simulations.tar";             ce_id = "ce08.pic.es:8443/cream-pbs-rglong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "rglong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg";             X509UserProxy = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/user.proxy";             GlobusResourceContactString = "ce08.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/W5YlIPrgQbyfwCe1Kve3Xg";                 lrms_type = "pbs";                 CEInfoHostName = "ce08.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=617" };                 NodeName = "Node_617";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.simulations.tar simulations.tar";                 ce_id = "ce08.pic.es:8443/cream-pbs-rglong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "rglong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg";                 X509UserProxy = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/user.proxy";                 GlobusResourceContactString = "ce08.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_617"; FuzzyRank = true; CEInfoHostName = "ce08.pic.es"; OutputSandboxPath = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce08.pic.es:8443/cream-pbs"; QueueName = "rglong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=617" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce08.pic.es:8443/cream-pbs-rglong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/W5YlIPrgQbyfwCe1Kve3Xg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_617.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/W5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fW5YlIPrgQbyfwCe1Kve3Xg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:01 2013 CET           Ready : Mon Feb 4 16:46:03 2013 CET           Scheduled : Mon Feb 4 17:06:38 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:37:38 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/WZHLLQQNmmUXVr-6UiDbZg     Current Status: Running     Status Reason: unavailable     Destination: cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_632     ---     - Cancelling = 0     - Ce node = wn6-cafpegrid.ugr.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     - Done code = -1     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Wed Feb 6 09:23:16 2013 CET     - Location = LRMS/worknode/wn6-cafpegrid.ugr.es     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = wn6-cafpegrid.ugr.es     - Possible destinations = cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     - Resubmitted = 0     - Stateentertime = Wed Feb 6 09:23:16 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=cream-cafpegrid.ugr.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 1     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/WZHLLQQNmmUXVr-6UiDbZg";             lrms_type = "pbs";             CEInfoHostName = "cream-cafpegrid.ugr.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=632" };             NodeName = "Node_632";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.simulations.tar simulations.tar";             ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg";             X509UserProxy = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/user.proxy";             GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/WZHLLQQNmmUXVr-6UiDbZg";                 lrms_type = "pbs";                 CEInfoHostName = "cream-cafpegrid.ugr.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=632" };                 NodeName = "Node_632";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.simulations.tar simulations.tar";                 ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg";                 X509UserProxy = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/user.proxy";                 GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_632"; FuzzyRank = true; CEInfoHostName = "cream-cafpegrid.ugr.es"; OutputSandboxPath = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=632" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/WZHLLQQNmmUXVr-6UiDbZg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_632.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/WZ/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fWZHLLQQNmmUXVr-6UiDbZg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:12 2013 CET           Ready : Mon Feb 4 16:46:18 2013 CET           Scheduled : Mon Feb 4 17:07:04 2013 CET           Running : Wed Feb 6 09:23:16 2013 CET           Done : ---           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/XhVrx9RGAN4Ly56G4x5NQg     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: grid001.fc.up.pt:8443/cream-pbs-iberphys     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_628     ---     - Cancelling = 0     - Ce node = grid005.fc.up.pt     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = grid001.fc.up.pt:8443/cream-pbs-iberphys     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 18:33:40 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grid005.fc.up.pt     - Possible destinations = grid001.fc.up.pt:8443/cream-pbs-iberphys     - Resubmitted = 0     - Stateentertime = Mon Feb 4 18:33:40 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=grid001.fc.up.pt;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/XhVrx9RGAN4Ly56G4x5NQg";             lrms_type = "pbs";             CEInfoHostName = "grid001.fc.up.pt";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=628" };             NodeName = "Node_628";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.simulations.tar simulations.tar";             ce_id = "grid001.fc.up.pt:8443/cream-pbs-iberphys";             Prologue = "md-job-prologue.sh";             QueueName = "iberphys";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg";             X509UserProxy = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/user.proxy";             GlobusResourceContactString = "grid001.fc.up.pt:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/XhVrx9RGAN4Ly56G4x5NQg";                 lrms_type = "pbs";                 CEInfoHostName = "grid001.fc.up.pt";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=628" };                 NodeName = "Node_628";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.simulations.tar simulations.tar";                 ce_id = "grid001.fc.up.pt:8443/cream-pbs-iberphys";                 Prologue = "md-job-prologue.sh";                 QueueName = "iberphys";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg";                 X509UserProxy = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/user.proxy";                 GlobusResourceContactString = "grid001.fc.up.pt:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_628"; FuzzyRank = true; CEInfoHostName = "grid001.fc.up.pt"; OutputSandboxPath = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "grid001.fc.up.pt:8443/cream-pbs"; QueueName = "iberphys"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=628" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "grid001.fc.up.pt:8443/cream-pbs-iberphys"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/XhVrx9RGAN4Ly56G4x5NQg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_628.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXhVrx9RGAN4Ly56G4x5NQg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:21 2013 CET           Ready : Mon Feb 4 16:46:22 2013 CET           Scheduled : Mon Feb 4 17:07:10 2013 CET           Running : Mon Feb 4 18:19:29 2013 CET           Done : Mon Feb 4 18:33:40 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/Z_-3Hf7ABnVUUwCnXzXYow     Current Status: Scheduled     Status Reason: unavailable     Destination: cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_634     ---     - Cancelling = 0     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     - Done code = -1     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:07:11 2013 CET     - Location = LRMS/https://cream-cafpegrid.ugr.es:8443/ce-cream/services/CREAM2/unavailable     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible destinations = cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:07:11 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=cream-cafpegrid.ugr.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 0     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Z_-3Hf7ABnVUUwCnXzXYow";             lrms_type = "pbs";             CEInfoHostName = "cream-cafpegrid.ugr.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=634" };             NodeName = "Node_634";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.simulations.tar simulations.tar";             ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow";             X509UserProxy = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/user.proxy";             GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Z_-3Hf7ABnVUUwCnXzXYow";                 lrms_type = "pbs";                 CEInfoHostName = "cream-cafpegrid.ugr.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=634" };                 NodeName = "Node_634";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.simulations.tar simulations.tar";                 ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow";                 X509UserProxy = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/user.proxy";                 GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_634"; FuzzyRank = true; CEInfoHostName = "cream-cafpegrid.ugr.es"; OutputSandboxPath = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=634" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Z_-3Hf7ABnVUUwCnXzXYow"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_634.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Z_/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZ_5f-3Hf7ABnVUUwCnXzXYow" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:22 2013 CET           Ready : Mon Feb 4 16:46:23 2013 CET           Scheduled : Mon Feb 4 17:07:11 2013 CET           Running : ---           Done : ---           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/Ze_FTcgopTIxYBQThv_rAw     Current Status: Done (Success)     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: creamce02.ciemat.es:8443/cream-pbs-medium     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_630     ---     - Cancelling = 0     - Ce node = gaew1022.ciemat.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = creamce02.ciemat.es:8443/cream-pbs-medium     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 23:35:52 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = gaew1022.ciemat.es     - Possible destinations = creamce02.ciemat.es:8443/cream-pbs-medium     - Resubmitted = 0     - Stateentertime = Tue Feb 5 23:35:52 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=creamce02.ciemat.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Ze_FTcgopTIxYBQThv_rAw";             lrms_type = "pbs";             CEInfoHostName = "creamce02.ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=630" };             NodeName = "Node_630";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.simulations.tar simulations.tar";             ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium";             Prologue = "md-job-prologue.sh";             QueueName = "medium";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw";             X509UserProxy = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/user.proxy";             GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Ze_FTcgopTIxYBQThv_rAw";                 lrms_type = "pbs";                 CEInfoHostName = "creamce02.ciemat.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=630" };                 NodeName = "Node_630";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.simulations.tar simulations.tar";                 ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium";                 Prologue = "md-job-prologue.sh";                 QueueName = "medium";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw";                 X509UserProxy = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/user.proxy";                 GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_630"; FuzzyRank = true; CEInfoHostName = "creamce02.ciemat.es"; OutputSandboxPath = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs"; QueueName = "medium"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=630" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Ze_FTcgopTIxYBQThv_rAw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_630.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Ze/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZe_5fFTcgopTIxYBQThv_5frAw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:09 2013 CET           Ready : Mon Feb 4 16:46:10 2013 CET           Scheduled : Mon Feb 4 17:06:52 2013 CET           Running : Tue Feb 5 23:20:00 2013 CET           Done : Tue Feb 5 23:35:52 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/Zn81g1UXyEXSp2JT1savzA     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ifaece02.pic.es:8443/cream-pbs-at2ifae     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_639     ---     - Cancelling = 0     - Ce node = td640.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:39:09 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td640.pic.es     - Possible destinations = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:39:09 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ifaece02.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Zn81g1UXyEXSp2JT1savzA";             lrms_type = "pbs";             CEInfoHostName = "ifaece02.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=639" };             NodeName = "Node_639";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.simulations.tar simulations.tar";             ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae";             Prologue = "md-job-prologue.sh";             QueueName = "at2ifae";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA";             X509UserProxy = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/user.proxy";             GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Zn81g1UXyEXSp2JT1savzA";                 lrms_type = "pbs";                 CEInfoHostName = "ifaece02.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=639" };                 NodeName = "Node_639";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.simulations.tar simulations.tar";                 ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae";                 Prologue = "md-job-prologue.sh";                 QueueName = "at2ifae";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA";                 X509UserProxy = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/user.proxy";                 GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_639"; FuzzyRank = true; CEInfoHostName = "ifaece02.pic.es"; OutputSandboxPath = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs"; QueueName = "at2ifae"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=639" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/Zn81g1UXyEXSp2JT1savzA"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_639.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Zn/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fZn81g1UXyEXSp2JT1savzA" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:36 2013 CET           Ready : Mon Feb 4 16:46:36 2013 CET           Scheduled : Mon Feb 4 17:07:33 2013 CET           Running : Mon Feb 4 17:09:13 2013 CET           Done : Mon Feb 4 17:39:09 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/aav4ly8OKgD-9QIDcToipQ     Current Status: Done (Success)     Logged Reason(s):         - BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM141282605)         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ifaece02.pic.es:8443/cream-pbs-at2ifae     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_605     ---     - Cancelling = 0     - Ce node = td651.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Done code = 0     - Expectupdate = 0     - Failure reasons = BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM141282605) [grid001.ft.uam.es:8443/cream-pbs-phytuk]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 18:20:01 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td651.pic.es     - Possible destinations = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Resubmitted = 1     - Stateentertime = Mon Feb 4 18:20:01 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ifaece02.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/aav4ly8OKgD-9QIDcToipQ";             lrms_type = "pbs";             CEInfoHostName = "grid001.ft.uam.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=605" };             NodeName = "Node_605";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.simulations.tar simulations.tar";             ce_id = "grid001.ft.uam.es:8443/cream-pbs-phytuk";             Prologue = "md-job-prologue.sh";             QueueName = "phytuk";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ";             X509UserProxy = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/user.proxy";             GlobusResourceContactString = "grid001.ft.uam.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/aav4ly8OKgD-9QIDcToipQ";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ifaece02.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=605" };                 NodeName = "Node_605";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.simulations.tar simulations.tar";                 ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae";                 Prologue = "md-job-prologue.sh";                 QueueName = "at2ifae";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "grid001.ft.uam.es";                   timestamp = 1359992742                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ";                 CeApplicationDir = "/software/ifae/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/user.proxy";                 GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input";                 edg_previous_matches = { "grid001.ft.uam.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_605"; FuzzyRank = true; CEInfoHostName = "ifaece02.pic.es"; OutputSandboxPath = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs"; QueueName = "at2ifae"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=605" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/aav4ly8OKgD-9QIDcToipQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/input"; CeApplicationDir = "/software/ifae/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_605.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "grid001.ft.uam.es" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992742; ce_id = "grid001.ft.uam.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/aa/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2faav4ly8OKgD-9QIDcToipQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:21:22 2013 CET           Ready : Mon Feb 4 17:38:16 2013 CET           Scheduled : Mon Feb 4 17:50:54 2013 CET           Running : Mon Feb 4 17:52:10 2013 CET           Done : Mon Feb 4 18:20:01 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/c-5ZXOGaTDIPmBjUVxikHw     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_608     ---     - Cancelling = 0     - Ce node = grub21.ecm.ub.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:39:02 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grub21.ecm.ub.es     - Possible destinations = hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:39:02 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=hep-cream02.ecm.ub.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/c-5ZXOGaTDIPmBjUVxikHw";             lrms_type = "pbs";             CEInfoHostName = "hep-cream02.ecm.ub.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=608" };             NodeName = "Node_608";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.simulations.tar simulations.tar";             ce_id = "hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw";             X509UserProxy = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/user.proxy";             GlobusResourceContactString = "hep-cream02.ecm.ub.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/c-5ZXOGaTDIPmBjUVxikHw";                 lrms_type = "pbs";                 CEInfoHostName = "hep-cream02.ecm.ub.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=608" };                 NodeName = "Node_608";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.simulations.tar simulations.tar";                 ce_id = "hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw";                 X509UserProxy = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/user.proxy";                 GlobusResourceContactString = "hep-cream02.ecm.ub.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_608"; FuzzyRank = true; CEInfoHostName = "hep-cream02.ecm.ub.es"; OutputSandboxPath = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "hep-cream02.ecm.ub.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=608" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/c-5ZXOGaTDIPmBjUVxikHw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_608.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/c-/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fc-5ZXOGaTDIPmBjUVxikHw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:50 2013 CET           Ready : Mon Feb 4 16:45:50 2013 CET           Scheduled : Mon Feb 4 17:06:15 2013 CET           Running : Mon Feb 4 17:19:44 2013 CET           Done : Mon Feb 4 17:39:02 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/cOIEYwl19S2mvP9A0WU7_g     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: ngiescream.i3m.upv.es:8443/cream-pbs-physig     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_625     ---     - Cancelling = 0     - Ce node = ngieswn6     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Done code = 0     - Expectupdate = 0     - Failure reasons = Transfer to CREAM failed due to exception: Failed to create a delegation id for job https://lb01.ncg.ingrid.pt:9000/cOIEYwl19S2mvP9A0WU7_g: reason is Received NULL fault; the error is due to another cause: FaultString=[] - FaultCode=[SOAP-ENV:Server.generalException] - FaultSubCode=[SOAP-ENV:Server.generalException] - FaultDetail=[<faultData><ns1:MethodName xmlns:ns1="http://glite.org/2007/11/ce/cream/types">invoke</ns1:MethodName><ns2:Timestamp xmlns:ns2="http://glite.org/2007/11/ce/cream/types">2013-02-04T16:06:59.621Z</ns2:Timestamp><ns3:ErrorCode xmlns:ns3="http://glite.org/2007/11/ce/cream/types">0</ns3:ErrorCode><ns4:Description xmlns:ns4="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns4:Description><ns5:FaultCause xmlns:ns5="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns5:FaultCause></faultData><ns6:exceptionName xmlns:ns6="http://xml.apache.org/axis/">org.glite.ce.creamapi.ws.cream2.types.AuthorizationFault</ns6:exceptionName><ns7:hostname xmlns:ns7="http://xml.apache.org/axis/">ce01.igfae.usc.es</ns7:hostname>] [ce01.igfae.usc.es:8443/cream-pbs-phys] reason=255 [cream.egi.cesga.es:8443/cream-sge-GRIDEGI_large]     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 03:11:03 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = ngieswn6     - Possible destinations = ngiescream.i3m.upv.es:8443/cream-pbs-physig     - Resubmitted = 1     - Stateentertime = Tue Feb 5 03:11:03 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ngiescream.i3m.upv.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cOIEYwl19S2mvP9A0WU7_g";             lrms_type = "pbs";             CEInfoHostName = "ce01.igfae.usc.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=625" };             NodeName = "Node_625";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.simulations.tar simulations.tar";             ce_id = "ce01.igfae.usc.es:8443/cream-pbs-phys";             Prologue = "md-job-prologue.sh";             QueueName = "phys";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg";             X509UserProxy = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/user.proxy";             GlobusResourceContactString = "ce01.igfae.usc.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000018:BH=0000000000:JSS=000004:LM=000020:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cOIEYwl19S2mvP9A0WU7_g";                 lrms_type = "pbs";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ngiescream.i3m.upv.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=625" };                 NodeName = "Node_625";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.simulations.tar simulations.tar";                 ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig";                 Prologue = "md-job-prologue.sh";                 QueueName = "physig";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "ce01.igfae.usc.es";                   timestamp = 1359992770                  ],                  [                   ce_id = "cream.egi.cesga.es";                   timestamp = 1359994962                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg";                 CeApplicationDir = "/storage/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/user.proxy";                 GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input";                 edg_previous_matches = { "ce01.igfae.usc.es","cream.egi.cesga.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_625"; FuzzyRank = true; CEInfoHostName = "ngiescream.i3m.upv.es"; OutputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ngiescream.i3m.upv.es:8443/cream-pbs"; QueueName = "physig"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000018:BH=0000000000:JSS=000006:LM=000022:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=625" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ngiescream.i3m.upv.es:8443/cream-pbs-physig"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cOIEYwl19S2mvP9A0WU7_g"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/input"; CeApplicationDir = "/storage/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_625.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "ce01.igfae.usc.es","cream.egi.cesga.es" }; lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992770; ce_id = "ce01.igfae.usc.es" ],[ timestamp = 1359994962; ce_id = "cream.egi.cesga.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOIEYwl19S2mvP9A0WU7_5fg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Tue Feb 5 03:02:58 2013 CET           Ready : Tue Feb 5 03:03:02 2013 CET           Scheduled : Tue Feb 5 03:03:05 2013 CET           Running : Tue Feb 5 03:03:31 2013 CET           Done : Tue Feb 5 03:11:03 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/cOv_9bPVAE7kbBinPenWcw     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce07.pic.es:8443/cream-pbs-rglong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_626     ---     - Cancelling = 0     - Ce node = td481.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce07.pic.es:8443/cream-pbs-rglong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:33 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td481.pic.es     - Possible destinations = ce07.pic.es:8443/cream-pbs-rglong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:33 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce07.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cOv_9bPVAE7kbBinPenWcw";             lrms_type = "pbs";             CEInfoHostName = "ce07.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=626" };             NodeName = "Node_626";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.simulations.tar simulations.tar";             ce_id = "ce07.pic.es:8443/cream-pbs-rglong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "rglong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw";             X509UserProxy = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/user.proxy";             GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cOv_9bPVAE7kbBinPenWcw";                 lrms_type = "pbs";                 CEInfoHostName = "ce07.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=626" };                 NodeName = "Node_626";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.simulations.tar simulations.tar";                 ce_id = "ce07.pic.es:8443/cream-pbs-rglong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "rglong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw";                 X509UserProxy = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/user.proxy";                 GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_626"; FuzzyRank = true; CEInfoHostName = "ce07.pic.es"; OutputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs"; QueueName = "rglong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=626" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce07.pic.es:8443/cream-pbs-rglong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cOv_9bPVAE7kbBinPenWcw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_626.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcOv_5f9bPVAE7kbBinPenWcw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:11 2013 CET           Ready : Mon Feb 4 16:46:12 2013 CET           Scheduled : Mon Feb 4 17:06:56 2013 CET           Running : Mon Feb 4 17:07:29 2013 CET           Done : Mon Feb 4 17:37:33 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/cP2j6hIdCKe3uttIs3PX-g     Current Status: Done (Success)     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: grid001.fc.up.pt:8443/cream-pbs-iberphys     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_645     ---     - Cancelling = 0     - Ce node = grid012.fc.up.pt     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = grid001.fc.up.pt:8443/cream-pbs-iberphys     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 18:33:40 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grid012.fc.up.pt     - Possible destinations = grid001.fc.up.pt:8443/cream-pbs-iberphys     - Resubmitted = 0     - Stateentertime = Mon Feb 4 18:33:40 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=grid001.fc.up.pt;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cP2j6hIdCKe3uttIs3PX-g";             lrms_type = "pbs";             CEInfoHostName = "grid001.fc.up.pt";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=645" };             NodeName = "Node_645";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.simulations.tar simulations.tar";             ce_id = "grid001.fc.up.pt:8443/cream-pbs-iberphys";             Prologue = "md-job-prologue.sh";             QueueName = "iberphys";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g";             X509UserProxy = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/user.proxy";             GlobusResourceContactString = "grid001.fc.up.pt:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cP2j6hIdCKe3uttIs3PX-g";                 lrms_type = "pbs";                 CEInfoHostName = "grid001.fc.up.pt";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=645" };                 NodeName = "Node_645";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.simulations.tar simulations.tar";                 ce_id = "grid001.fc.up.pt:8443/cream-pbs-iberphys";                 Prologue = "md-job-prologue.sh";                 QueueName = "iberphys";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g";                 X509UserProxy = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/user.proxy";                 GlobusResourceContactString = "grid001.fc.up.pt:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_645"; FuzzyRank = true; CEInfoHostName = "grid001.fc.up.pt"; OutputSandboxPath = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "grid001.fc.up.pt:8443/cream-pbs"; QueueName = "iberphys"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=645" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "grid001.fc.up.pt:8443/cream-pbs-iberphys"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/cP2j6hIdCKe3uttIs3PX-g"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_645.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/cP/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fcP2j6hIdCKe3uttIs3PX-g" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:38 2013 CET           Ready : Mon Feb 4 16:46:39 2013 CET           Scheduled : Mon Feb 4 17:07:37 2013 CET           Running : Mon Feb 4 18:19:26 2013 CET           Done : Mon Feb 4 18:33:40 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/epCzQ_JfoX-lRDz-NVXQnQ     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce02.lip.pt:8443/cream-sge-gridq_x86_64     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_601     ---     - Cancelling = 0     - Ce node = wn032.lip.pt     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce02.lip.pt:8443/cream-sge-gridq_x86_64     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:57:43 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = wn032.lip.pt     - Possible destinations = ce02.lip.pt:8443/cream-sge-gridq_x86_64     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:57:43 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce02.lip.pt;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/epCzQ_JfoX-lRDz-NVXQnQ";             lrms_type = "sge";             CEInfoHostName = "ce02.lip.pt";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=601" };             NodeName = "Node_601";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.simulations.tar simulations.tar";             ce_id = "ce02.lip.pt:8443/cream-sge-gridq_x86_64";             Prologue = "md-job-prologue.sh";             QueueName = "gridq_x86_64";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ";             X509UserProxy = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/user.proxy";             GlobusResourceContactString = "ce02.lip.pt:8443/cream-sge";             InputSandboxPath = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/epCzQ_JfoX-lRDz-NVXQnQ";                 lrms_type = "sge";                 CEInfoHostName = "ce02.lip.pt";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=601" };                 NodeName = "Node_601";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.simulations.tar simulations.tar";                 ce_id = "ce02.lip.pt:8443/cream-sge-gridq_x86_64";                 Prologue = "md-job-prologue.sh";                 QueueName = "gridq_x86_64";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ";                 X509UserProxy = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/user.proxy";                 GlobusResourceContactString = "ce02.lip.pt:8443/cream-sge";                 InputSandboxPath = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_601"; FuzzyRank = true; CEInfoHostName = "ce02.lip.pt"; OutputSandboxPath = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce02.lip.pt:8443/cream-sge"; QueueName = "gridq_x86_64"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=601" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce02.lip.pt:8443/cream-sge-gridq_x86_64"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/epCzQ_JfoX-lRDz-NVXQnQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_601.simulations.tar simulations.tar"; rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "sge"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/ep/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fepCzQ_5fJfoX-lRDz-NVXQnQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:32 2013 CET           Ready : Mon Feb 4 16:45:33 2013 CET           Scheduled : Mon Feb 4 17:05:32 2013 CET           Running : Mon Feb 4 17:40:14 2013 CET           Done : Mon Feb 4 17:57:43 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/fwhZFsvhzngbXnb11zUESw     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce07.pic.es:8443/cream-pbs-glong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_610     ---     - Cancelling = 0     - Ce node = td080.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce07.pic.es:8443/cream-pbs-glong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:36 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td080.pic.es     - Possible destinations = ce07.pic.es:8443/cream-pbs-glong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:36 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce07.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/fwhZFsvhzngbXnb11zUESw";             lrms_type = "pbs";             CEInfoHostName = "ce07.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=610" };             NodeName = "Node_610";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.simulations.tar simulations.tar";             ce_id = "ce07.pic.es:8443/cream-pbs-glong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "glong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw";             X509UserProxy = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/user.proxy";             GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/fwhZFsvhzngbXnb11zUESw";                 lrms_type = "pbs";                 CEInfoHostName = "ce07.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=610" };                 NodeName = "Node_610";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.simulations.tar simulations.tar";                 ce_id = "ce07.pic.es:8443/cream-pbs-glong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "glong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw";                 X509UserProxy = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/user.proxy";                 GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_610"; FuzzyRank = true; CEInfoHostName = "ce07.pic.es"; OutputSandboxPath = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce07.pic.es:8443/cream-pbs"; QueueName = "glong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=610" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce07.pic.es:8443/cream-pbs-glong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/fwhZFsvhzngbXnb11zUESw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_610.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/fw/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2ffwhZFsvhzngbXnb11zUESw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:40 2013 CET           Ready : Mon Feb 4 16:45:41 2013 CET           Scheduled : Mon Feb 4 17:05:57 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:37:36 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/hhgE8yN6q9GP7kJhCzNq2g     Current Status: Done (Success)     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: creamce02.ciemat.es:8443/cream-pbs-medium     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_611     ---     - Cancelling = 0     - Ce node = gaew0034.ciemat.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = creamce02.ciemat.es:8443/cream-pbs-medium     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 23:35:52 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = gaew0034.ciemat.es     - Possible destinations = creamce02.ciemat.es:8443/cream-pbs-medium     - Resubmitted = 0     - Stateentertime = Tue Feb 5 23:35:52 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=creamce02.ciemat.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/hhgE8yN6q9GP7kJhCzNq2g";             lrms_type = "pbs";             CEInfoHostName = "creamce02.ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=611" };             NodeName = "Node_611";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.simulations.tar simulations.tar";             ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium";             Prologue = "md-job-prologue.sh";             QueueName = "medium";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g";             X509UserProxy = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/user.proxy";             GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/hhgE8yN6q9GP7kJhCzNq2g";                 lrms_type = "pbs";                 CEInfoHostName = "creamce02.ciemat.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=611" };                 NodeName = "Node_611";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.simulations.tar simulations.tar";                 ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium";                 Prologue = "md-job-prologue.sh";                 QueueName = "medium";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g";                 X509UserProxy = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/user.proxy";                 GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_611"; FuzzyRank = true; CEInfoHostName = "creamce02.ciemat.es"; OutputSandboxPath = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs"; QueueName = "medium"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=611" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/hhgE8yN6q9GP7kJhCzNq2g"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_611.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/hh/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fhhgE8yN6q9GP7kJhCzNq2g" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:44 2013 CET           Ready : Mon Feb 4 16:45:45 2013 CET           Scheduled : Mon Feb 4 17:06:00 2013 CET           Running : Tue Feb 5 23:20:00 2013 CET           Done : Tue Feb 5 23:35:52 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/i2jcb-2oVb2m8BGCeghcNw     Current Status: Done (Success)     Logged Reason(s):         - BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue infbandShort-) N/A (jobId = CREAM806220543)         - BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue for user MSG=total number of current user's jobs exceeds the queue limit: user [log in to unmask], queue grid-csic-) N/A (jobId = CREAM868796346)         - BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM399540845)         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ifaece03.pic.es:8443/cream-pbs-at2ifae     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_619     ---     - Cancelling = 0     - Ce node = td666.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ifaece03.pic.es:8443/cream-pbs-at2ifae     - Done code = 0     - Expectupdate = 0     - Failure reasons = BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue infbandShort-) N/A (jobId = CREAM806220543) [ce02.ific.uv.es:8443/cream-pbs-infbandShort] BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue for user MSG=total number of current user's jobs exceeds the queue limit: user [log in to unmask], queue grid-csic-) N/A (jobId = CREAM868796346) [grid-ce-00.sgai.csic.es:8443/cream-pbs-grid-csic] BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM399540845) [grid001.ft.uam.es:8443/cream-pbs-phytuk]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 19:44:53 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td666.pic.es     - Possible destinations = ifaece03.pic.es:8443/cream-pbs-at2ifae     - Resubmitted = 1     - Stateentertime = Mon Feb 4 19:44:53 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ifaece03.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/i2jcb-2oVb2m8BGCeghcNw";             lrms_type = "pbs";             CEInfoHostName = "ce02.ific.uv.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=619" };             NodeName = "Node_619";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.simulations.tar simulations.tar";             ce_id = "ce02.ific.uv.es:8443/cream-pbs-infbandShort";             Prologue = "md-job-prologue.sh";             QueueName = "infbandShort";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw";             X509UserProxy = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/user.proxy";             GlobusResourceContactString = "ce02.ific.uv.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000024:BH=0000000000:JSS=000006:LM=000030:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/i2jcb-2oVb2m8BGCeghcNw";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ifaece03.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=619" };                 NodeName = "Node_619";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.simulations.tar simulations.tar";                 ce_id = "ifaece03.pic.es:8443/cream-pbs-at2ifae";                 Prologue = "md-job-prologue.sh";                 QueueName = "at2ifae";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "ce02.ific.uv.es";                   timestamp = 1359992768                  ],                  [                   ce_id = "grid-ce-00.sgai.csic.es";                   timestamp = 1359995229                  ],                  [                   ce_id = "grid001.ft.uam.es";                   timestamp = 1359998534                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw";                 CeApplicationDir = "/software/ifae/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/user.proxy";                 GlobusResourceContactString = "ifaece03.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input";                 edg_previous_matches = { "ce02.ific.uv.es","grid-ce-00.sgai.csic.es","grid001.ft.uam.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_619"; FuzzyRank = true; CEInfoHostName = "ifaece03.pic.es"; OutputSandboxPath = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ifaece03.pic.es:8443/cream-pbs"; QueueName = "at2ifae"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000024:BH=0000000000:JSS=000008:LM=000032:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=619" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ifaece03.pic.es:8443/cream-pbs-at2ifae"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/i2jcb-2oVb2m8BGCeghcNw"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/input"; CeApplicationDir = "/software/ifae/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_619.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "ce02.ific.uv.es","grid-ce-00.sgai.csic.es","grid001.ft.uam.es" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992768; ce_id = "ce02.ific.uv.es" ],[ timestamp = 1359995229; ce_id = "grid-ce-00.sgai.csic.es" ],[ timestamp = 1359998534; ce_id = "grid001.ft.uam.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/i2/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fi2jcb-2oVb2m8BGCeghcNw" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 18:33:51 2013 CET           Ready : Mon Feb 4 18:51:42 2013 CET           Scheduled : Mon Feb 4 19:31:14 2013 CET           Running : Mon Feb 4 19:32:41 2013 CET           Done : Mon Feb 4 19:44:53 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/iTXIX-uF2r_CdPD1THIqpg     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce03.ncg.ingrid.pt:8443/cream-sge-gridq     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_621     ---     - Cancelling = 0     - Ce node = wn047.ncg.ingrid.pt     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce03.ncg.ingrid.pt:8443/cream-sge-gridq     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Wed Feb 6 05:01:21 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = wn047.ncg.ingrid.pt     - Possible destinations = ce03.ncg.ingrid.pt:8443/cream-sge-gridq     - Resubmitted = 0     - Stateentertime = Wed Feb 6 05:01:21 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce03.ncg.ingrid.pt;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/iTXIX-uF2r_CdPD1THIqpg";             lrms_type = "sge";             CEInfoHostName = "ce03.ncg.ingrid.pt";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=621" };             NodeName = "Node_621";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.simulations.tar simulations.tar";             ce_id = "ce03.ncg.ingrid.pt:8443/cream-sge-gridq";             Prologue = "md-job-prologue.sh";             QueueName = "gridq";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg";             X509UserProxy = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/user.proxy";             GlobusResourceContactString = "ce03.ncg.ingrid.pt:8443/cream-sge";             InputSandboxPath = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/iTXIX-uF2r_CdPD1THIqpg";                 lrms_type = "sge";                 CEInfoHostName = "ce03.ncg.ingrid.pt";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=621" };                 NodeName = "Node_621";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.simulations.tar simulations.tar";                 ce_id = "ce03.ncg.ingrid.pt:8443/cream-sge-gridq";                 Prologue = "md-job-prologue.sh";                 QueueName = "gridq";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg";                 X509UserProxy = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/user.proxy";                 GlobusResourceContactString = "ce03.ncg.ingrid.pt:8443/cream-sge";                 InputSandboxPath = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_621"; FuzzyRank = true; CEInfoHostName = "ce03.ncg.ingrid.pt"; OutputSandboxPath = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce03.ncg.ingrid.pt:8443/cream-sge"; QueueName = "gridq"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=621" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce03.ncg.ingrid.pt:8443/cream-sge-gridq"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/iTXIX-uF2r_CdPD1THIqpg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_621.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "sge"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/iT/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fiTXIX-uF2r_5fCdPD1THIqpg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:55 2013 CET           Ready : Mon Feb 4 16:45:57 2013 CET           Scheduled : Mon Feb 4 17:06:30 2013 CET           Running : Wed Feb 6 04:45:10 2013 CET           Done : Wed Feb 6 05:01:21 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/k5ys04YH8hGrZNpyBztsHg     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-gshort_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_614     ---     - Cancelling = 0     - Ce node = td158.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-gshort_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:43 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td158.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-gshort_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:43 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/k5ys04YH8hGrZNpyBztsHg";             lrms_type = "pbs";             CEInfoHostName = "ce09.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=614" };             NodeName = "Node_614";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.simulations.tar simulations.tar";             ce_id = "ce09.pic.es:8443/cream-pbs-gshort_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "gshort_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg";             X509UserProxy = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/user.proxy";             GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/k5ys04YH8hGrZNpyBztsHg";                 lrms_type = "pbs";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=614" };                 NodeName = "Node_614";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-gshort_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "gshort_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg";                 X509UserProxy = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_614"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "gshort_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=614" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-gshort_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/k5ys04YH8hGrZNpyBztsHg"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_614.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/k5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fk5ys04YH8hGrZNpyBztsHg" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:51 2013 CET           Ready : Mon Feb 4 16:45:51 2013 CET           Scheduled : Mon Feb 4 17:06:16 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:37:43 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/lYagldR80bc5Pn9TRMvaKQ     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-glong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_636     ---     - Cancelling = 0     - Ce node = td080.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-glong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:40 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td080.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-glong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:40 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/lYagldR80bc5Pn9TRMvaKQ";             lrms_type = "pbs";             CEInfoHostName = "ce09.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=636" };             NodeName = "Node_636";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.simulations.tar simulations.tar";             ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "glong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ";             X509UserProxy = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/user.proxy";             GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/lYagldR80bc5Pn9TRMvaKQ";                 lrms_type = "pbs";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=636" };                 NodeName = "Node_636";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "glong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ";                 X509UserProxy = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_636"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "glong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=636" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/lYagldR80bc5Pn9TRMvaKQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_636.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/lY/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2flYagldR80bc5Pn9TRMvaKQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:28 2013 CET           Ready : Mon Feb 4 16:46:31 2013 CET           Scheduled : Mon Feb 4 17:07:21 2013 CET           Running : Mon Feb 4 17:09:13 2013 CET           Done : Mon Feb 4 17:37:40 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/n5XPPdlAFII3hPZJSqvufA     Current Status: Done (Success)     Logged Reason(s):         - Transfer to CREAM failed due to exception: Failed to create a delegation id for job https://lb01.ncg.ingrid.pt:9000/n5XPPdlAFII3hPZJSqvufA: reason is Received NULL fault; the error is due to another cause: FaultString=[cannot enqueue the command PROXY_RENEW: Cannot enqueue the command id=-1: Data truncation: Data too long for column 'commandGroupId' at row 1 (rollback performed)] - FaultCode=[SOAP-ENV:Server] - FaultSubCode=[SOAP-ENV:Server]         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-glong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_615     ---     - Cancelling = 0     - Ce node = td573.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-glong_sl5     - Done code = 0     - Expectupdate = 0     - Failure reasons = Transfer to CREAM failed due to exception: Failed to create a delegation id for job https://lb01.ncg.ingrid.pt:9000/n5XPPdlAFII3hPZJSqvufA: reason is Received NULL fault; the error is due to another cause: FaultString=[cannot enqueue the command PROXY_RENEW: Cannot enqueue the command id=-1: Data truncation: Data too long for column 'commandGroupId' at row 1 (rollback performed)] - FaultCode=[SOAP-ENV:Server] - FaultSubCode=[SOAP-ENV:Server] [cream01-tic.ciemat.es:8443/cream-pbs-ibergrid]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:58:26 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td573.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-glong_sl5     - Resubmitted = 1     - Stateentertime = Mon Feb 4 17:58:26 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/n5XPPdlAFII3hPZJSqvufA";             lrms_type = "pbs";             CEInfoHostName = "cream01-tic.ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=615" };             NodeName = "Node_615";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.simulations.tar simulations.tar";             ce_id = "cream01-tic.ciemat.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA";             X509UserProxy = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/user.proxy";             GlobusResourceContactString = "cream01-tic.ciemat.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/n5XPPdlAFII3hPZJSqvufA";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=615" };                 NodeName = "Node_615";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "glong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "cream01-tic.ciemat.es";                   timestamp = 1359992755                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA";                 CeApplicationDir = "/software/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input";                 edg_previous_matches = { "cream01-tic.ciemat.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_615"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "glong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=615" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/n5XPPdlAFII3hPZJSqvufA"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/input"; CeApplicationDir = "/software/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_615.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "cream01-tic.ciemat.es" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992755; ce_id = "cream01-tic.ciemat.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/n5/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fn5XPPdlAFII3hPZJSqvufA" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:06:25 2013 CET           Ready : Mon Feb 4 17:22:10 2013 CET           Scheduled : Mon Feb 4 17:36:58 2013 CET           Running : Mon Feb 4 17:38:09 2013 CET           Done : Mon Feb 4 17:58:26 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/nA6lOZsvf9H4NKAUFYjp5Q     Current Status: Done (Success)     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: creamce03.ciemat.es:8443/cream-pbs-medium     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_635     ---     - Cancelling = 0     - Ce node = gaew0221.ciemat.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = creamce03.ciemat.es:8443/cream-pbs-medium     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 23:35:56 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = gaew0221.ciemat.es     - Possible destinations = creamce03.ciemat.es:8443/cream-pbs-medium     - Resubmitted = 0     - Stateentertime = Tue Feb 5 23:35:56 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=creamce03.ciemat.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/nA6lOZsvf9H4NKAUFYjp5Q";             lrms_type = "pbs";             CEInfoHostName = "creamce03.ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=635" };             NodeName = "Node_635";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.simulations.tar simulations.tar";             ce_id = "creamce03.ciemat.es:8443/cream-pbs-medium";             Prologue = "md-job-prologue.sh";             QueueName = "medium";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q";             X509UserProxy = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/user.proxy";             GlobusResourceContactString = "creamce03.ciemat.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/nA6lOZsvf9H4NKAUFYjp5Q";                 lrms_type = "pbs";                 CEInfoHostName = "creamce03.ciemat.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=635" };                 NodeName = "Node_635";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.simulations.tar simulations.tar";                 ce_id = "creamce03.ciemat.es:8443/cream-pbs-medium";                 Prologue = "md-job-prologue.sh";                 QueueName = "medium";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q";                 X509UserProxy = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/user.proxy";                 GlobusResourceContactString = "creamce03.ciemat.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_635"; FuzzyRank = true; CEInfoHostName = "creamce03.ciemat.es"; OutputSandboxPath = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "creamce03.ciemat.es:8443/cream-pbs"; QueueName = "medium"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=635" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "creamce03.ciemat.es:8443/cream-pbs-medium"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/nA6lOZsvf9H4NKAUFYjp5Q"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_635.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nA/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnA6lOZsvf9H4NKAUFYjp5Q" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:25 2013 CET           Ready : Mon Feb 4 16:46:27 2013 CET           Scheduled : Mon Feb 4 17:07:20 2013 CET           Running : Tue Feb 5 23:20:04 2013 CET           Done : Tue Feb 5 23:35:56 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/nK1yk-1q5zH1xSeFfrNr9w     Current Status: Running     Status Reason: unavailable     Destination: creamc-iber.bifi.unizar.es:8443/cream-pbs-physiber     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_613     ---     - Cancelling = 0     - Ce node = wn26ciencias.aragrid.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = creamc-iber.bifi.unizar.es:8443/cream-pbs-physiber     - Done code = -1     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:11:47 2013 CET     - Location = LRMS/worknode/wn26ciencias.aragrid.es     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 1     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = wn26ciencias.aragrid.es     - Possible destinations = creamc-iber.bifi.unizar.es:8443/cream-pbs-physiber     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:11:47 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=creamc-iber.bifi.unizar.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 2     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/nK1yk-1q5zH1xSeFfrNr9w";             lrms_type = "pbs";             CEInfoHostName = "creamc-iber.bifi.unizar.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=613" };             NodeName = "Node_613";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.simulations.tar simulations.tar";             ce_id = "creamc-iber.bifi.unizar.es:8443/cream-pbs-physiber";             Prologue = "md-job-prologue.sh";             QueueName = "physiber";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w";             X509UserProxy = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/user.proxy";             GlobusResourceContactString = "creamc-iber.bifi.unizar.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/nK1yk-1q5zH1xSeFfrNr9w";                 lrms_type = "pbs";                 CEInfoHostName = "creamc-iber.bifi.unizar.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=613" };                 NodeName = "Node_613";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.simulations.tar simulations.tar";                 ce_id = "creamc-iber.bifi.unizar.es:8443/cream-pbs-physiber";                 Prologue = "md-job-prologue.sh";                 QueueName = "physiber";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w";                 X509UserProxy = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/user.proxy";                 GlobusResourceContactString = "creamc-iber.bifi.unizar.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_613"; FuzzyRank = true; CEInfoHostName = "creamc-iber.bifi.unizar.es"; OutputSandboxPath = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "creamc-iber.bifi.unizar.es:8443/cream-pbs"; QueueName = "physiber"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=613" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "creamc-iber.bifi.unizar.es:8443/cream-pbs-physiber"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/nK1yk-1q5zH1xSeFfrNr9w"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_613.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/nK/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fnK1yk-1q5zH1xSeFfrNr9w" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:49 2013 CET           Ready : Mon Feb 4 16:45:50 2013 CET           Scheduled : Mon Feb 4 17:06:07 2013 CET           Running : Mon Feb 4 17:11:47 2013 CET           Done : ---           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/os8TFk5laIJd-XVPI844ZA     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ifaece02.pic.es:8443/cream-pbs-at2ifae     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_644     ---     - Cancelling = 0     - Ce node = td679.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:39:05 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td679.pic.es     - Possible destinations = ifaece02.pic.es:8443/cream-pbs-at2ifae     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:39:05 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ifaece02.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/os8TFk5laIJd-XVPI844ZA";             lrms_type = "pbs";             CEInfoHostName = "ifaece02.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=644" };             NodeName = "Node_644";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.simulations.tar simulations.tar";             ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae";             Prologue = "md-job-prologue.sh";             QueueName = "at2ifae";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA";             X509UserProxy = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/user.proxy";             GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/os8TFk5laIJd-XVPI844ZA";                 lrms_type = "pbs";                 CEInfoHostName = "ifaece02.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=644" };                 NodeName = "Node_644";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.simulations.tar simulations.tar";                 ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae";                 Prologue = "md-job-prologue.sh";                 QueueName = "at2ifae";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA";                 X509UserProxy = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/user.proxy";                 GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_644"; FuzzyRank = true; CEInfoHostName = "ifaece02.pic.es"; OutputSandboxPath = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ifaece02.pic.es:8443/cream-pbs"; QueueName = "at2ifae"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=644" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ifaece02.pic.es:8443/cream-pbs-at2ifae"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/os8TFk5laIJd-XVPI844ZA"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_644.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/os/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fos8TFk5laIJd-XVPI844ZA" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:35 2013 CET           Ready : Mon Feb 4 16:46:36 2013 CET           Scheduled : Mon Feb 4 17:07:26 2013 CET           Running : Mon Feb 4 17:09:13 2013 CET           Done : Mon Feb 4 17:39:05 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/oyFhmk0ZMiYX_peU_iE6_Q     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-glong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_616     ---     - Cancelling = 0     - Ce node = td473.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-glong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:42 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td473.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-glong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:42 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/oyFhmk0ZMiYX_peU_iE6_Q";             lrms_type = "pbs";             CEInfoHostName = "ce09.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=616" };             NodeName = "Node_616";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.simulations.tar simulations.tar";             ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "glong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ";             X509UserProxy = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/user.proxy";             GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/oyFhmk0ZMiYX_peU_iE6_Q";                 lrms_type = "pbs";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=616" };                 NodeName = "Node_616";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "glong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ";                 X509UserProxy = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_616"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "glong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=616" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-glong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/oyFhmk0ZMiYX_peU_iE6_Q"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_616.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/oy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2foyFhmk0ZMiYX_5fpeU_5fiE6_5fQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:57 2013 CET           Ready : Mon Feb 4 16:45:58 2013 CET           Scheduled : Mon Feb 4 17:06:30 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:37:42 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/pvNGzhIwq1FG4pC9KHNNnQ     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-rglong_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_631     ---     - Cancelling = 0     - Ce node = td606.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-rglong_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:37:44 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td606.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-rglong_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:37:44 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/pvNGzhIwq1FG4pC9KHNNnQ";             lrms_type = "pbs";             CEInfoHostName = "ce09.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=631" };             NodeName = "Node_631";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.simulations.tar simulations.tar";             ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "rglong_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ";             X509UserProxy = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/user.proxy";             GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/pvNGzhIwq1FG4pC9KHNNnQ";                 lrms_type = "pbs";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=631" };                 NodeName = "Node_631";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "rglong_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ";                 X509UserProxy = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_631"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "rglong_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=631" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-rglong_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/pvNGzhIwq1FG4pC9KHNNnQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_631.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/pv/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fpvNGzhIwq1FG4pC9KHNNnQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:11 2013 CET           Ready : Mon Feb 4 16:46:11 2013 CET           Scheduled : Mon Feb 4 17:06:56 2013 CET           Running : Mon Feb 4 17:07:28 2013 CET           Done : Mon Feb 4 17:37:44 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/qNB0B_xyBFjJxgllj0GxEQ     Current Status: Done (Success)     Logged Reason(s):         - job completed         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce.iaa.csic.es:8443/cream-pbs-physiber     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_612     ---     - Cancelling = 0     - Ce node = wn09.iaa.csic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce.iaa.csic.es:8443/cream-pbs-physiber     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:36:55 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = wn09.iaa.csic.es     - Possible destinations = ce.iaa.csic.es:8443/cream-pbs-physiber     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:36:55 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce.iaa.csic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/qNB0B_xyBFjJxgllj0GxEQ";             lrms_type = "pbs";             CEInfoHostName = "ce.iaa.csic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=612" };             NodeName = "Node_612";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.simulations.tar simulations.tar";             ce_id = "ce.iaa.csic.es:8443/cream-pbs-physiber";             Prologue = "md-job-prologue.sh";             QueueName = "physiber";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ";             X509UserProxy = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/user.proxy";             GlobusResourceContactString = "ce.iaa.csic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/qNB0B_xyBFjJxgllj0GxEQ";                 lrms_type = "pbs";                 CEInfoHostName = "ce.iaa.csic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=612" };                 NodeName = "Node_612";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.simulations.tar simulations.tar";                 ce_id = "ce.iaa.csic.es:8443/cream-pbs-physiber";                 Prologue = "md-job-prologue.sh";                 QueueName = "physiber";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ";                 X509UserProxy = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/user.proxy";                 GlobusResourceContactString = "ce.iaa.csic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_612"; FuzzyRank = true; CEInfoHostName = "ce.iaa.csic.es"; OutputSandboxPath = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce.iaa.csic.es:8443/cream-pbs"; QueueName = "physiber"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=612" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce.iaa.csic.es:8443/cream-pbs-physiber"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/qNB0B_xyBFjJxgllj0GxEQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_612.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/qN/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fqNB0B_5fxyBFjJxgllj0GxEQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:47 2013 CET           Ready : Mon Feb 4 16:45:48 2013 CET           Scheduled : Mon Feb 4 17:06:04 2013 CET           Running : Mon Feb 4 17:06:12 2013 CET           Done : Mon Feb 4 17:36:55 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/sEueeD-oSacRBoAwt7Z28g     Current Status: Scheduled     Status Reason: unavailable     Destination: cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_604     ---     - Cancelling = 0     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     - Done code = 1     - Expectupdate = 0     - Failure reasons = Transfer to CREAM failed due to exception: Failed to create a delegation id for job https://lb01.ncg.ingrid.pt:9000/sEueeD-oSacRBoAwt7Z28g: reason is Received NULL fault; the error is due to another cause: FaultString=[] - FaultCode=[SOAP-ENV:Server.generalException] - FaultSubCode=[SOAP-ENV:Server.generalException] - FaultDetail=[<faultData><ns1:MethodName xmlns:ns1="http://glite.org/2007/11/ce/cream/types">invoke</ns1:MethodName><ns2:Timestamp xmlns:ns2="http://glite.org/2007/11/ce/cream/types">2013-02-04T16:05:57.987Z</ns2:Timestamp><ns3:ErrorCode xmlns:ns3="http://glite.org/2007/11/ce/cream/types">0</ns3:ErrorCode><ns4:Description xmlns:ns4="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns4:Description><ns5:FaultCause xmlns:ns5="http://glite.org/2007/11/ce/cream/types">User CN=Marcin Plociennik,O=PSNC,O=GRID,C=PL not authorized for operation {http://www.gridsite.org/namespaces/delegation-2}getProxyReq</ns5:FaultCause></faultData><ns6:exceptionName xmlns:ns6="http://xml.apache.org/axis/">org.glite.ce.creamapi.ws.cream2.types.AuthorizationFault</ns6:exceptionName><ns7:hostname xmlns:ns7="http://xml.apache.org/axis/">ce01.igfae.usc.es</ns7:hostname>] [ce01.igfae.usc.es:8443/cream-pbs-phys]     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:36:41 2013 CET     - Location = LRMS/https://cream-cafpegrid.ugr.es:8443/ce-cream/services/CREAM2/unavailable     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible destinations = cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid     - Resubmitted = 1     - Stateentertime = Mon Feb 4 17:36:41 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=cream-cafpegrid.ugr.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 0     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/sEueeD-oSacRBoAwt7Z28g";             lrms_type = "pbs";             CEInfoHostName = "ce01.igfae.usc.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=604" };             NodeName = "Node_604";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.simulations.tar simulations.tar";             ce_id = "ce01.igfae.usc.es:8443/cream-pbs-phys";             Prologue = "md-job-prologue.sh";             QueueName = "phys";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g";             X509UserProxy = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/user.proxy";             GlobusResourceContactString = "ce01.igfae.usc.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/sEueeD-oSacRBoAwt7Z28g";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "cream-cafpegrid.ugr.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=604" };                 NodeName = "Node_604";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.simulations.tar simulations.tar";                 ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "ce01.igfae.usc.es";                   timestamp = 1359992739                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g";                 CeApplicationDir = "/opt/exp_soft/phys.vo.ibergrid.eu";                 X509UserProxy = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/user.proxy";                 GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input";                 edg_previous_matches = { "ce01.igfae.usc.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_604"; FuzzyRank = true; CEInfoHostName = "cream-cafpegrid.ugr.es"; OutputSandboxPath = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "cream-cafpegrid.ugr.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=604" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "cream-cafpegrid.ugr.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/sEueeD-oSacRBoAwt7Z28g"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/input"; CeApplicationDir = "/opt/exp_soft/phys.vo.ibergrid.eu"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_604.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "ce01.igfae.usc.es" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992739; ce_id = "ce01.igfae.usc.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/sE/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fsEueeD-oSacRBoAwt7Z28g" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:05:54 2013 CET           Ready : Mon Feb 4 17:21:47 2013 CET           Scheduled : Mon Feb 4 17:36:41 2013 CET           Running : ---           Done : Mon Feb 4 17:05:53 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/uOrQ1XGZV8wu1WArRYBtUA     Current Status: Done (Success)     Logged Reason(s):         - BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM115236126)         - Job Terminated Successfully     Exit code: 0     Status Reason: Job Terminated Successfully     Destination: ce01.macc.unican.es:8443/cream-pbs-grid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_648     ---     - Cancelling = 0     - Ce node = wn008     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce01.macc.unican.es:8443/cream-pbs-grid     - Done code = 0     - Expectupdate = 0     - Failure reasons = BLAH error: submission command failed (exit code = 1) (stdout:) (stderr:qsub: Maximum number of jobs already in queue MSG=total number of jobs in queue exceeds the queue limit: user [log in to unmask], queue phytuk-) N/A (jobId = CREAM115236126) [grid001.ft.uam.es:8443/cream-pbs-phytuk]     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 10:39:48 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = wn008     - Possible destinations = ce01.macc.unican.es:8443/cream-pbs-grid     - Resubmitted = 1     - Stateentertime = Tue Feb 5 10:39:48 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce01.macc.unican.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 1          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/uOrQ1XGZV8wu1WArRYBtUA";             lrms_type = "pbs";             CEInfoHostName = "grid001.ft.uam.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=648" };             NodeName = "Node_648";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.simulations.tar simulations.tar";             ce_id = "grid001.ft.uam.es:8443/cream-pbs-phytuk";             Prologue = "md-job-prologue.sh";             QueueName = "phytuk";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA";             X509UserProxy = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/user.proxy";             GlobusResourceContactString = "grid001.ft.uam.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000002:LM=000010:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/uOrQ1XGZV8wu1WArRYBtUA";                 lrms_type = "torque";                 CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) \? false : true ) && ( EnableWmsFeedback is true \? true : true ) )";                 CEInfoHostName = "ce01.macc.unican.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=648" };                 NodeName = "Node_648";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.simulations.tar simulations.tar";                 ce_id = "ce01.macc.unican.es:8443/cream-pbs-grid";                 Prologue = "md-job-prologue.sh";                 QueueName = "grid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 edg_previous_matches_ex = {                  [                   ce_id = "grid001.ft.uam.es";                   timestamp = 1359992730                  ] };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA";                 CeApplicationDir = "/opt/exp_soft/test";                 X509UserProxy = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/user.proxy";                 GlobusResourceContactString = "ce01.macc.unican.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input";                 edg_previous_matches = { "grid001.ft.uam.es" };                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_648"; FuzzyRank = true; CEInfoHostName = "ce01.macc.unican.es"; OutputSandboxPath = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce01.macc.unican.es:8443/cream-pbs"; QueueName = "grid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000012:BH=0000000000:JSS=000004:LM=000012:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=648" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce01.macc.unican.es:8443/cream-pbs-grid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; CeRequirements = "true && ( true && ( ( ShortDeadlineJob is true ) ? false : true ) && ( EnableWmsFeedback is true ? true : true ) )"; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input/.BrokerInfo","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/uOrQ1XGZV8wu1WArRYBtUA"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/input"; CeApplicationDir = "/opt/exp_soft/test"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_648.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); edg_previous_matches = { "grid001.ft.uam.es" }; lrms_type = "torque"; Prologue = "md-job-prologue.sh"; edg_previous_matches_ex = { [ timestamp = 1359992730; ce_id = "grid001.ft.uam.es" ] }; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/uO/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fuOrQ1XGZV8wu1WArRYBtUA" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 17:21:09 2013 CET           Ready : Mon Feb 4 17:38:08 2013 CET           Scheduled : Mon Feb 4 17:50:49 2013 CET           Running : Tue Feb 5 10:20:36 2013 CET           Done : Tue Feb 5 10:39:48 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/wyV53sF51948-BQVomSQ7g     Current Status: Done (Exit Code !=0)     Exit code: 127     Status Reason: Job Terminated Successfully     Destination: creamce02.ciemat.es:8443/cream-pbs-medium     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_606     ---     - Cancelling = 0     - Ce node = gaew1010.ciemat.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = creamce02.ciemat.es:8443/cream-pbs-medium     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Tue Feb 5 23:27:58 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = gaew1010.ciemat.es     - Possible destinations = creamce02.ciemat.es:8443/cream-pbs-medium     - Resubmitted = 0     - Stateentertime = Tue Feb 5 23:27:58 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=creamce02.ciemat.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/wyV53sF51948-BQVomSQ7g";             lrms_type = "pbs";             CEInfoHostName = "creamce02.ciemat.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=606" };             NodeName = "Node_606";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.simulations.tar simulations.tar";             ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium";             Prologue = "md-job-prologue.sh";             QueueName = "medium";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g";             X509UserProxy = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/user.proxy";             GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/wyV53sF51948-BQVomSQ7g";                 lrms_type = "pbs";                 CEInfoHostName = "creamce02.ciemat.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=606" };                 NodeName = "Node_606";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.simulations.tar simulations.tar";                 ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium";                 Prologue = "md-job-prologue.sh";                 QueueName = "medium";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g";                 X509UserProxy = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/user.proxy";                 GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_606"; FuzzyRank = true; CEInfoHostName = "creamce02.ciemat.es"; OutputSandboxPath = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "creamce02.ciemat.es:8443/cream-pbs"; QueueName = "medium"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=606" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "creamce02.ciemat.es:8443/cream-pbs-medium"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/wyV53sF51948-BQVomSQ7g"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_606.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/wy/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fwyV53sF51948-BQVomSQ7g" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:45 2013 CET           Ready : Mon Feb 4 16:45:46 2013 CET           Scheduled : Mon Feb 4 17:06:01 2013 CET           Running : Tue Feb 5 23:20:00 2013 CET           Done : Tue Feb 5 23:27:58 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/xjAYFh4PLtrED8KcpSEpqQ     Current Status: Done (Exit Code !=0)     Exit code: 127     Status Reason: Job Terminated Successfully     Destination: ce09.pic.es:8443/cream-pbs-gshort_sl5     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_622     ---     - Cancelling = 0     - Ce node = td103.pic.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = ce09.pic.es:8443/cream-pbs-gshort_sl5     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:19:49 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = td103.pic.es     - Possible destinations = ce09.pic.es:8443/cream-pbs-gshort_sl5     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:19:49 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=ce09.pic.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/xjAYFh4PLtrED8KcpSEpqQ";             lrms_type = "pbs";             CEInfoHostName = "ce09.pic.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=622" };             NodeName = "Node_622";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.simulations.tar simulations.tar";             ce_id = "ce09.pic.es:8443/cream-pbs-gshort_sl5";             Prologue = "md-job-prologue.sh";             QueueName = "gshort_sl5";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ";             X509UserProxy = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/user.proxy";             GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/xjAYFh4PLtrED8KcpSEpqQ";                 lrms_type = "pbs";                 CEInfoHostName = "ce09.pic.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=622" };                 NodeName = "Node_622";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.simulations.tar simulations.tar";                 ce_id = "ce09.pic.es:8443/cream-pbs-gshort_sl5";                 Prologue = "md-job-prologue.sh";                 QueueName = "gshort_sl5";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ";                 X509UserProxy = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/user.proxy";                 GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_622"; FuzzyRank = true; CEInfoHostName = "ce09.pic.es"; OutputSandboxPath = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "ce09.pic.es:8443/cream-pbs"; QueueName = "gshort_sl5"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=622" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "ce09.pic.es:8443/cream-pbs-gshort_sl5"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/xjAYFh4PLtrED8KcpSEpqQ"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_622.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/xj/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fxjAYFh4PLtrED8KcpSEpqQ" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:45:58 2013 CET           Ready : Mon Feb 4 16:46:01 2013 CET           Scheduled : Mon Feb 4 17:06:30 2013 CET           Running : Mon Feb 4 17:07:44 2013 CET           Done : Mon Feb 4 17:19:49 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================          Status info for the Job : https://lb01.ncg.ingrid.pt:9000/zaf_dNLSuCQif0IvXA7l4w     Current Status: Done (Exit Code !=0)     Exit code: 1     Status Reason: Job Terminated Successfully     Destination: hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid     Submitted: Mon Feb 4 16:34:12 2013 CET     Parent Job: https://lb01.ncg.ingrid.pt:9000/XqVdHXrO7aV5f3nH_0fzFw     Node Name: Node_629     ---     - Cancelling = 0     - Ce node = grub01.ecm.ub.es     - Children num = 0     - Condor job exit status = 0     - Condor job pid = 0     - Condor shadow exit status = 0     - Condor shadow pid = 0     - Condor starter exit status = 0     - Condor starter pid = 0     - Cputime = -1     - Destination = hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid     - Done code = 0     - Expectupdate = 0     - Jobtype = 0     - Lastupdatetime = Mon Feb 4 17:39:01 2013 CET     - Location = none     - Network server = https://wms01.ncg.ingrid.pt:7443/glite_wms_wmproxy_server     - Owner = /C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik     - Payload running = 0     - Pbs exit status = 0     - Pbs pid = 0     - Possible ce nodes = grub01.ecm.ub.es     - Possible destinations = hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid     - Resubmitted = 0     - Stateentertime = Mon Feb 4 17:39:01 2013 CET     - Subjob failed = 0     - Suspended = 0     - User tags = ceinfohostname=hep-cream02.ecm.ub.es;     - Remove from proxy = 0     - Sandbox retrieved = 0     - Jw status = 3     - Cream cancelling = 0     - Cream cpu time = 0     - Cream done code = -1     - Cream exit code = -1     - Cream jw status = 0     - Cream state = -1     - Ft sandbox type = -1     ---     - Children hist = 0          Undefined=0          Submitted=0          Waiting=0          Ready=0          Scheduled=0          Running=0          Done=0          Cleared=0          Aborted=0          Cancelled=0          Unknown=0          Purged=0     - Jdl =                       [             RetryCount = 3;             edg_jobid = "https://lb01.ncg.ingrid.pt:9000/zaf_dNLSuCQif0IvXA7l4w";             lrms_type = "pbs";             CEInfoHostName = "hep-cream02.ecm.ub.es";             Arguments = "executable-110436677479856151.sh";             Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=629" };             NodeName = "Node_629";             CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";             MyProxyServer = "px01.ncg.ingrid.pt";             PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.simulations.tar simulations.tar";             ce_id = "hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid";             Prologue = "md-job-prologue.sh";             QueueName = "ibergrid";             FuzzyRank = true;             JobType = "normal";             EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";             Epilogue = "md-job-epilogue.sh";             Executable = "/bin/sh";             VirtualOrganisation = "phys.vo.ibergrid.eu";             SignificantAttributes = { "Requirements","Rank","FuzzyRank" };             InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/input/.BrokerInfo" };             StdOutput = "StdOutput";             ShallowRetryCount = 10;             VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";             InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };             OutputSandboxPath = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output";             requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );             Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );             Type = "job";             OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/fulldebug.tar.gz" };             StdError = "StdError";             DefaultRank = -other.GlueCEStateEstimatedResponseTime;             WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w";             X509UserProxy = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/user.proxy";             GlobusResourceContactString = "hep-cream02.ecm.ub.es:8443/cream-pbs";             InputSandboxPath = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/input";             OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }            ]     - Matched jdl =                       [             Arguments =              [               JobAd =                [                 RetryCount = 3;                 LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000000:LM=000000:LRMS=000000:APP=000000:LBS=000000";                 ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/token.txt_0";                 edg_jobid = "https://lb01.ncg.ingrid.pt:9000/zaf_dNLSuCQif0IvXA7l4w";                 lrms_type = "pbs";                 CEInfoHostName = "hep-cream02.ecm.ub.es";                 Arguments = "executable-110436677479856151.sh";                 Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=629" };                 NodeName = "Node_629";                 CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik";                 MyProxyServer = "px01.ncg.ingrid.pt";                 PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.simulations.tar simulations.tar";                 ce_id = "hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid";                 Prologue = "md-job-prologue.sh";                 QueueName = "ibergrid";                 JobType = "normal";                 FuzzyRank = true;                 EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu";                 Executable = "/bin/sh";                 Epilogue = "md-job-epilogue.sh";                 VirtualOrganisation = "phys.vo.ibergrid.eu";                 SignificantAttributes = { "Requirements","Rank","FuzzyRank" };                 InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/input/.BrokerInfo" };                 StdOutput = "StdOutput";                 ShallowRetryCount = 10;                 InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" };                 VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL";                 OutputSandboxPath = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output";                 requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) );                 Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 );                 Type = "job";                 OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/fulldebug.tar.gz" };                 StdError = "StdError";                 DefaultRank = -other.GlueCEStateEstimatedResponseTime;                 WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w";                 X509UserProxy = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/user.proxy";                 GlobusResourceContactString = "hep-cream02.ecm.ub.es:8443/cream-pbs";                 InputSandboxPath = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/input";                 OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }                ]              ];             Command = "Submit";             Source = 2;             Protocol = "1.0.0"            ]     - Rsl =           [ NodeName = "Node_629"; FuzzyRank = true; CEInfoHostName = "hep-cream02.ecm.ub.es"; OutputSandboxPath = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output"; StdOutput = "StdOutput"; Epilogue = "md-job-epilogue.sh"; GlobusResourceContactString = "hep-cream02.ecm.ub.es:8443/cream-pbs"; QueueName = "ibergrid"; ShallowRetryCount = 10; SignificantAttributes = { "Requirements","Rank","FuzzyRank" }; RetryCount = 3; Executable = "/bin/sh"; Type = "job"; LB_sequence_code = "UI=000000:NS=0000000004:WM=000006:BH=0000000000:JSS=000002:LM=000002:LRMS=000000:APP=000000:LBS=000000"; Arguments = "executable-110436677479856151.sh"; Environment = { "MD_DEBUG=1","LCG_GFAL_INFOSYS=topbdii.core.ibergrid.eu:2170","ParameterValue=629" }; EpilogueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu"; VirtualOrganisation = "phys.vo.ibergrid.eu"; JobType = "normal"; DefaultRank = -other.GlueCEStateEstimatedResponseTime; ce_id = "hep-cream02.ecm.ub.es:8443/cream-pbs-ibergrid"; OutputSandboxDestURI = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/StdError","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/StdOutput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/debug.log","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/output/fulldebug.tar.gz" }; OutputSandbox = { "StdError","StdOutput","debug.log","fulldebug.tar.gz" }; InputSandbox = { "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-prologue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/md-job-epilogue.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/StdInput","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/Xq/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fXqVdHXrO7aV5f3nH_5f0fzFw/input/executable-110436677479856151.sh","gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/input/.BrokerInfo" }; edg_jobid = "https://lb01.ncg.ingrid.pt:9000/zaf_dNLSuCQif0IvXA7l4w"; StdError = "StdError"; CertificateSubject = "/C=PL/O=GRID/O=PSNC/CN=Marcin Plociennik"; VOMS_FQAN = "/phys.vo.ibergrid.eu/Role=NULL/Capability=NULL"; InputSandboxPath = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/input"; ReallyRunningToken = "gsiftp://wms01.ncg.ingrid.pt/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/token.txt_0"; PrologueArguments = " 2013-02-04-16-33-20-42864885 -vo phys.vo.ibergrid.eu -storage storm.ifca.es -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/script1.sh script1.sh -fi lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-18-45-880/libgfortran.so.1 libgfortran.so.1 -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.StdOutput StdOutput -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.StdError StdError -fo lfc://lfc01.ncg.ingrid.pt/grid/phys.vo.ibergrid.eu/desktop/C=PL_O=GRID_O=PSNC_CN=Marcin_Plociennik/2013-02-04-16-33-20-428/Node_629.simulations.tar simulations.tar"; Rank = ( other.GlueCEStateFreeJobSlots < other.GlueCEPolicyAssignedJobSlots ? other.GlueCEStateFreeJobSlots : other.GlueCEPolicyAssignedJobSlots ) / ( other.GlueCEStateTotalJobs + 1 ); lrms_type = "pbs"; Prologue = "md-job-prologue.sh"; MyProxyServer = "px01.ncg.ingrid.pt"; X509UserProxy = "/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w/user.proxy"; InputSandboxDestFileName = { "md-job-prologue.sh","md-job-epilogue.sh","StdInput","executable-110436677479856151.sh" }; requirements = ( ( ( other.GlueCEUniqueId != "ce02.up.pt:8443/cream-pbs-iberphys" ) && ( other.GlueCEUniqueId != "ce03.ific.uv.es:8443/cream-pbs-short" ) ) && ( other.GlueCEStateStatus == "Production" ) ) && ( ( ( ShortDeadlineJob is true ) ? RegExp(".*sdj$",other.GlueCEUniqueID) : !RegExp(".*sdj$",other.GlueCEUniqueID) ) && ( other.GlueCEPolicyMaxTotalJobs == 0 || other.GlueCEStateTotalJobs < other.GlueCEPolicyMaxTotalJobs ) && ( EnableWmsFeedback is true ? RegExp("cream",other.GlueCEImplementationName,"i") : true ) ); WMPInputSandboxBaseURI = "gsiftp://wms01.ncg.ingrid.pt:2811/var/SandboxDir/za/https_3a_2f_2flb01.ncg.ingrid.pt_3a9000_2fzaf_5fdNLSuCQif0IvXA7l4w" ]     - Stateentertimes =           Submitted : Mon Feb 4 16:34:12 2013 CET           Waiting : Mon Feb 4 16:46:24 2013 CET           Ready : Mon Feb 4 16:46:24 2013 CET           Scheduled : Mon Feb 4 17:07:13 2013 CET           Running : Mon Feb 4 17:20:32 2013 CET           Done : Mon Feb 4 17:39:01 2013 CET           Cleared : ---           Aborted : ---           Cancelled : ---           Unknown : ---      ==========================================================================     

Top of Message | Previous Page | Permalink

JiscMail Tools


RSS Feeds and Sharing


Advanced Options


Archives

November 2023
June 2023
May 2023
April 2023
March 2023
February 2023
September 2022
June 2022
May 2022
April 2022
February 2022
December 2021
November 2021
October 2021
September 2021
July 2021
June 2021
May 2021
February 2021
January 2021
November 2020
September 2020
August 2020
July 2020
June 2020
May 2020
April 2020
March 2020
February 2020
January 2020
November 2019
October 2019
September 2019
August 2019
July 2019
June 2019
May 2019
March 2019
February 2019
January 2019
December 2018
November 2018
October 2018
September 2018
August 2018
July 2018
June 2018
May 2018
April 2018
February 2018
January 2018
November 2017
October 2017
September 2017
July 2017
June 2017
May 2017
March 2017
February 2017
January 2017
December 2016
November 2016
October 2016
September 2016
August 2016
July 2016
June 2016
May 2016
April 2016
March 2016
February 2016
January 2016
December 2015
November 2015
October 2015
September 2015
August 2015
July 2015
June 2015
May 2015
April 2015
March 2015
February 2015
January 2015
December 2014
November 2014
October 2014
September 2014
August 2014
July 2014
June 2014
May 2014
April 2014
March 2014
February 2014
January 2014
December 2013
November 2013
October 2013
September 2013
August 2013
July 2013
June 2013
May 2013
April 2013
March 2013
February 2013
January 2013
December 2012
November 2012
October 2012
September 2012
August 2012
July 2012
June 2012
May 2012
April 2012
March 2012
February 2012
January 2012
December 2011
November 2011
October 2011
September 2011
August 2011
July 2011
June 2011
May 2011
April 2011
March 2011
February 2011
January 2011
December 2010
November 2010
October 2010
September 2010
August 2010
July 2010
June 2010
May 2010
April 2010
March 2010
February 2010
January 2010
December 2009
November 2009
October 2009
September 2009
August 2009
July 2009
June 2009
May 2009
April 2009
March 2009
February 2009
January 2009
December 2008
November 2008
October 2008
September 2008
August 2008
July 2008
June 2008
May 2008
April 2008
March 2008
February 2008
January 2008
December 2007
November 2007
October 2007
September 2007
August 2007
July 2007
June 2007
May 2007
April 2007
March 2007
February 2007
January 2007
2006
2005
2004
2003


JiscMail is a Jisc service.

View our service policies at https://www.jiscmail.ac.uk/policyandsecurity/ and Jisc's privacy policy at https://www.jisc.ac.uk/website/privacy-notice

For help and support help@jisc.ac.uk

Secured by F-Secure Anti-Virus CataList Email List Search Powered by the LISTSERV Email List Manager